Социальные сети Рунета
Вторник, 2 июля 2024

Intel запускает в Ирландии завод нового поколения для выпуска 7-нм чипов под брендом Intel 4 Intel готовится к запуску процессорного завода в Ирландии для массового производства чипов по технологии Intel 4, ранее известной как 7-нм процесс

Intel запустила массовое производство по технологии Intel 4 в Ирландии Впервые в истории компании.

В Рязани начался массовый выпуск серверов OpenYard Компания «Центр открытых разработок» объявила о запуске массового производства ИТ-оборудования на собственном заводе, который расположен на территории технопарка «Рязанский». Комплекс общей площадью свыше 8 тыс. кв. м обеспечивает производство по...

Samsung стремится обойти Intel в гонке за технологию стеклянных подложек Samsung планирует начать массовое производство продукции с использованием технологии стеклянных подложек в 2026 году, опередив Intel, который ориентируется на 2030 год. Новая технология обещает революцию в производстве полупроводников, обеспечивая более компактные, производи...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Intel получила от ASML первый инструмент для производства микросхем High-NA EUV Intel стала первым первопроходцем революционной новой технологии литографии

Это завод Xiaomi по производству машин. Появились первые реальные фото и спутниковые снимки Первые реальные фотографии и спутниковый снимок автомобильного завода Xiaomi в Пекине опубликовали китайские СМИ. На снимке показаны несколько помещений, построенных на площади 720 000 квадратных метров. Строительство завода в Пекине началось в апреле прошлого года. Об...

В Ирландии начнёт работу 7-нм процессорный завод Intel Корпорация Intel намерена начать массовое производство полупроводниковых изделий по технологии Intel 4 в Лейкслипе (Ирландия). Ранее произошло переименование 7-нм технологии в Intel 4, что было направлено на приведение ее в соответствие с отраслевыми стандартами и, по-видимо...

DNP разрабатывает процесс изготовления фотомасок для 3-нм EUV-литографии Компания Dai Nippon Printing Co. (DNP) успешно разработала процесс производства фотомасок, способных работать с 3-нанометровым (10-9 м) литографическим процессом, который поддерживает экстремальную ультрафиолетовую (EUV) литографию - передовой процесс производства полупровод...

Huawei может производить собственные чипы на 5 нанометрах Китайская компания SMIC, партнёр Huawei в области производства полупроводниковой продукции, недавно сообщила об успешной разработке 5-нанометрового технологического процесса без использования оборудования EUV (крупнейшая в мире компания по производству аппаратуры для данного...

Intel нахваливает свой новый техпроцесс Intel 3, но процессоры Lunar Lake отдала на производство TSMC Вчера мы узнали, что TSMC приступила к массовому производству процессоров Intel Lunar Lake, основная плитка которых производится по техпроцессу 3 нм. При этом и сама Intel начала выпуск по нормам Intel 3, которые, как минимум согласно своему названию, должны быть сходны...

Canon начнет выпуск установок для нанопечатной литографии уже в этом году Компания Canon готовится к выпуску своей машины для нанопечатной литографии FPA-1200NZ2C в этом году. Хироаки Такеиши, председатель совета директоров и генеральный директор компании, сообщил о планах начать поставки в 2024 году, подчеркнув простоту и экономичность производст...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Canon совершила революцию в мире полупроводников – что не так с технологией NIL-литографии Canon представила новое оборудование для производства полупроводников по 5-нм нормам

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

В Москве выпуск высокотехнологичной продукции вырос в 2,7 раза Производство высокотехнологичной продукции в Москве стремительно развивается, как сообщил заместитель мэра Москвы Максим Ликсутов. За первые три месяца текущего года объем выпуска компьютерной и оптической техники вырос почти в 2,7 раза по сравнению с прошлым годом.

В России начались учения по подготовке и применению нестратегического ядерного оружия В России начались учения по применению нестратегического ядерного оружия, ранее анонсированные как ответ на заявления ряда западных политиков о возможности применения западного оружия по «старой» территории России. Детали учений с анализом применяемой техники («Искандеры» и ...

В США запустят массовое производство человекоподобных роботов «общего назначения» В США робототехническая компания Agility Robotics откроет первый в мире завод для массового производства человекоподобных роботов. Предприятие называется RoboFab.

Lada Iskra получит российские сиденья. Их производство уже началось 5 июня состоится официальная премьера Lada Iskra. Но серийное производство стартует лишь в начале 2025 года, а пока АвтоВАЗ и поставщики компонентов ведут планомерную работу по проекту. Она включает в себя и выпуск сидений для новой модели: как сообщает инсайдерский паб...

Samsung начнёт массовый выпуск продукции на новом предприятии в Техасе не ранее 2025 года Новогодняя переносица.

Китайских автопроизводителей обяжут устанавливать на свои машины российскую электронику В России планируют создать график локализации для китайских автомобильных брендов, которые хотят организовать производство своей продукции на территории страны. Решение об этом было принято в ходе совещания, посвящённого перспективам локализации китайских автобрендов в ...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Intel начала массовое производство технологии 3D-упаковки Foveros Intel объявила о масштабном производстве на основе ведущих в отрасли решений по полупроводниковой упаковке, включая новую технологию 3D-упаковки Foveros. Эта технология была запущена на заводе Fab 9 в штате Нью-Мексико, США, который недавно был обновлен Intel.

Intel хочет быть впереди всех. Компания выкупила все новейшие машины ASML для литографии EUV с высокой числовой апертурой до конца года Компания Intel сделала большую ставку на технологию литографии EUV с высокой числовой апертурой. Настолько большую, что выкупила у ASML все соответствующие машины, которые голландская компания построит до конца текущего года.  На самом деле в абсолютном выражении ...

Производство карт «Тройка» стало полностью российским В Москве открыли первую в России линию по корпусированию микросхем для карт «Тройка». Производством занимается компания «Микрон Секьюрити Принтинг», расположенная в особой экономической зоне «Технополис Москва». Ранее компоненты для б...

Samsung в ударе: компания выпустила в первом квартале 2024 года 64,5 миллиона смартфонов и планшетов, хотя планировала выпустить только 53 миллиона Samsung перевыполнила собственный же план по выпуску новых мобильных устройств — смартфонов и планшетов — на 22%. Как сообщает корейский ресурс The Elec, при плане в 53 миллиона единиц на первый квартал текущего года Samsung выпустила 64,5 миллиона смартфоно...

Samsung наладит выпуск 2-нм продукции в Техасе к 2027 году И память HBM тоже будет выпускать на территории США.

В МФТИ запускают производство тягового 3D-протеза руки Команда студентов с кафедры технологического предпринимательства МФТИ завершила разработку механического тягового 3D-протеза руки и готовит его к массовому производству. При этом на создание протезов без 3D-печати уходит около месяца, а на производство протеза от МФТИ с прим...

OpenYard открыла производство российских серверов – 60 000 единиц в год с процессорами Ampere Массовое производство уже развёрнуто, а продукция доступна для заказа

И снова Samsung впереди всех. Компания первой приступила к массовому производству флеш-памяти V-NAND девятого поколения. Это микросхемы TLC ёмкостью 1 Тбит Samsung Electronics объявила о том, что первой на рынке запустила производство флеш-памяти V-NAND девятого поколения.  В данном случае речь о памяти TLC ёмкостью в 1 Тбит. Вероятно, это новая 290-слойная память, но в пресс-релизе Samsung об этом не говорит.  ...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

MediaTek готовит к выпуску Dimensity 9400 с продвинутыми возможностями ИИ в конце 2024 года В конце 2024 года MediaTek представит свой первый 3-нанометровый процессор Dimensity 9400, разработанный с учетом передовой литографии TSMC

В Кировской области запустили производство полиэтилена Сфера применения выпускаемой продукции — самая широкая.

Rheinmetall планирует начать производство бронетехники на Украине уже в 2024 году В 2024 году может начаться выпуск колёсных БТР Лиса, а позже начнётся производство БМП Рысь

Первые в России: стартовало производство принтеров и МФУ «Катюша» на фирменных отечественных печатных платах Российская компания «Катюша», разработчик и производитель офисной техники, сообщила о запуске серийного выпуска принтеров и МФУ на собственных российских системных печатных платах. Иллюстрация: «Катюша» Как отметили в пресс-службе, «Катюша...

ASML отметила первую установку инструмента для EUV-литографии Twinscan NXE:3800E с низким уровнем АН Компания ASML отметила важную веху - в своих социальных сетях она поделилась новостью о том, что ее инструмент для экстремальной ультрафиолетовой (EUV) литографии третьего поколения достиг неназванного заказчика

В России запустили массовое производство электродвигателей для беспилотных летательных аппаратов Сообщается, что продукция создаётся исключительно из отечественных комплектующих

Бывший завод Volkswagen в Калуге перезапустят в первой половине этого года По заявлению главы Минпромторга России Дениса Мантурова, перезапуск производства автомобилей на бывшем заводе Volkswagen в Калуге запланирован на первое полугодие текущего года. Об этом министр рассказал в интервью журналистам ТАСС. Напомним, владельцем калужского завод...

В России может начаться массовый выпуск наземных штурмовых роботов Дело нужное.

В России налажено массовое производство авиационных бомб ФАБ-3000 Министерство обороны РФ сообщило об увеличении выпуска бомб "малого" калибра, а также о начале производства трёхтонных авиационных бомб

Развивая технологию F5G, Huawei запускает три корпоративные оптические сети На саммите «Эволюция F5G, повсеместное внедрение интеллекта», проведенном в рамках конференции HUAWEI CONNECT 2023, компания Huawei поделилась своими методами применения технологии F5G с глобальными клиентами и партнерами в различных отраслях, от электроэнергетики до образов...

РФЯЦ–ВНИИТФ отгрузил первые волоконные лазеры собственного производства Лазеры Всероссийского научно-исследовательского института технической физики имени академика Е. И. Забабахина (РФЯЦ–ВНИИТФ) предназначены среди прочего для применения в отечественных 3D-принтерах по технологии селективного лазерного сплавления металлопорошковых композиций. ...

В Подмосковье запустили импортозамещающее производство цветных лазерных принтеров В России начался выпуск отечественных цветных лазерных принтеров. Его организовал российский производитель электроники Fplus. По их словам, они первыми запустили производство цветных принтеров в России.

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

В России разрабатывают отечественный автомобиль с гибридным двигателем Заявлено, что массовое производство новинок начнётся с 2025 по 2026 год

В России обновили технологию производства оптоволокна Специалисты компании «Швабе», входящей в состав «Ростеха», провели модернизацию оборудования и подобрали идеальные режимы производства оптического волокна, которые обеспечили выпуск продукции с повышенным качеством и спецификациями. Это позволит применять отечественное оптов...

Ижевский механический завод увеличит выпуск продукции по ГОЗ на 60% Ижевский завод увеличит производство военной техники по ГОЗ на 60%

В России началось массовое производство авиабомб ФАБ-3000 Трёхтонная фугасная бомба — это вам не шутки-прибаутки с дронами за $500.

Chery запускает первую облачную систему помощи при вождении Mobileye: автоматическое движение по дорогам без полос и адаптивное вождение Элитный бренд Xingtu Motors, принадлежащий Chery Automobile, объявил, что станет первым автопроизводителем в Китае, который запустит облачную систему помощи при вождении Mobileye. Xingtu Automobile объединилась с Mobileye и Bethel ADAS, чтобы начать массовое производств...

АвтоВАЗ: серийное производство Lada e-Largus стартует летом, а с 15 мая начнут собирать бензиновые машины Президент АвтоВАЗа Максим Соколов заявил, что серийное производство электромобиля e-Largus на заводе в Ижевске стартует летом этого года. Перед этим, уже с 15 мая, стартует массовое производство бензиновых Lada Largus, о чем пишет главный редактор «За рулем»...

Медведев уточнил, что может случиться с немецкими военными заводами в случае применения ракет Taurus по российской территории — Может, бахнем? — Обязательно бахнем, и не раз. Весь мир в труху! Но потом.

Агрохолдинг «Август» запустил производство по выпуску полимерной продукции для сельхознужд Объём инвестиций — около 2 миллиардов рублей.

В России началось массовое производство авиабомб ФАБ-3000 — Минобороны Трёхтонная фугасная бомба — это вам не шутки-прибаутки с дронами за $500.

Массовое производство тяжёлых ударных БПЛА С-70 "Охотник" начнётся во второй половине 2024 года Представители ВПК сообщили, что беспилотник С-70 "Охотник" пойдёт в серию во второй половине 2024 года

Military Watch Magazine: массовое производство модернизированных Су-57М начнётся с 2025 года Су-57 получит новый двигатель и станет самым быстрым истребителем 5-го поколения

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Innodisk выпустил решение MIPI over Type-C от для расширенного применения ИИ-зрения Innodisk, ведущий мировой поставщик решений для искусственного интеллекта, объявил о начале выпуска первого в отрасли решения MIPI over Type-C. Эта технология позволяет преодолеть традиционные ограничения длины кабеля MIPI, позволяя размещать встроенные модули камер дальше о...

Xiaomi начала массовое производство своего народного флагмана Redmi K70 Xiaomi объявила о старте массового производства своего новейшего флагмана — Redmi K70.

В России планируют начать производить десятки тысяч электромобилей Атом к 2027 году Сообщается, что массовое производство отечественного электрокара начнётся уже в 2025 году

В SK Hynix подтвердили, что компания планирует перенести своё производство в Соединённые Штаты Массовое производство чипов памяти на новом предприятии может начаться в 2028 году

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

iPhone 17 Pro станет первым смартфоном с 2-нм чипом Ранее в сети уже появлялась информация о том, что TSMC начала работы над 2-нм чипами. Их массовое производство должно начаться в 2025 году. Теперь эти данные подтверждают и другие источники. Более того, они заявляют, что 2-нм чипы станут одной из фишек iPhone 17 Pro и iPhone...

NexTouch начала массовое производство системных плат под российские процессоры Компания «Некс-Т», которая является резидентом «Технополиса Москва» и многим известна под торговой маркой NexTouch, приступила к массовому производству системных плат под российские «Элвисы» и «Байкалы».

NVIDIA начнет массовое производство процессора H20 AI GPU для Китая NVIDIA ведет активную подготовку к запуску массового производства своего графического процессора H20 AI GPU, предназначенного для Китая и ожидаемого во втором квартале 2024 года, пишет wccftech.com.

TSMC пообещала начать выпуск 2-нм продукции во второй половине следующего года И представить технологию N4C для снижения себестоимости чипов.

TSMC представила свой план выпуска продукции для следующего поколения полупроводников Компания планирует начать производство 1-нм транзисторов к 2030 году

В России будут выпускать хитовые люксовые кроссоверы Li Auto L9? На бывшем российском заводе Mercedes-Benz обнаружилось 60 таких машин Уже известно, что на бывшем российском заводе Mercedes-Benz наладили выпуск кроссоверов Exeed, но, возможно, собирать будут не только их. Корреспондент Drom.ru съездил на предприятие и заметил на площадке около шести десятков флагманских люксовых кроссоверов Li Auto L9....

Наряды Mono-Stil «выходят» на большой экран Продукция Mono-Stil — одного из первых магазинов одежды plus size в России с собственным дизайном и производством — получила признание именитых стилистов. Одежда и аксессуары отечественного бренда легли в основу образа героини одного из выпусков знаменитого ток-шоу на Первом...

В Северной Ирландии откроется завод по производству биотоплива Завод Renovare Fuels по производству биотоплива откроется в Северной Ирландии в следующем году

Intel начнёт возвращать заказы от TSMC на свой конвейер в следующем году По мере наращивания объёмов выпуска продукции по технологии Intel 18A.

SK Hynix выпустит память GDDR7 в начале 2025 года Судя по информации инсайдеров, компания SK Hynix планирует начать массовое производство своей памяти следующего поколения GDDR7 уже в первом квартале 2025 года, то есть компания немного отстаёт от конкурентов. Первое поколение модулей памяти GDDR7 появилось ещё в этом году, ...

АвтоВАЗ выпустит четыре новые модели Lada АвтоВАЗ планирует выпустить четыре новые модели в ближайшие два года, что подтвердил президент компании Максим Соколов. За два года на конвейере завода появятся следующие автомобили: бизнес-седан Lada Aura, массовый легковой автомобиль Lada Iskra, электромобиль e-Largus...

Через два года Seagate начнет выпуск жестких дисков объемом более 40 ТБ Благодаря применению передовых технологий

Американская криптобиржа Coinbase открывает европейский офис в Ирландии Крупнейшая американская криптовалютная биржа Coinbase официально объявила Ирландию местом будущей дислокации своего главного операционного центра в Европейском союзе

Историческое событие на АвтоВАЗе: начался выпуск Lada Vesta SW с новым 163-сильным двигателем О выпуске первых автомобилей Lada Vesta SW с новым турбированным двигателем объемом 1,4 литра мощностью 163 л.с. пишет инсайдерский паблик Avtograd News в соцсети «ВКонтакте». Сегодня 1 апреля произошло историческое событие в жизни ВАЗА. С конвейера сошло 2 ...

Первые процессоры Intel Core, которые сама Intel производить не может. TSMC приступила к массовому производству Lunar Lake Ресурс DigiTimes сообщает, что TSMC приступила к массовому производству процессоров Intel Lunar Lake.  Судя по всему, ноутбуки на основе этих CPU появятся в конце третьего квартала, а CPU Arrow Lake выйдут на рынок в четвертом квартале.  Напомним, Lunar Lake,...

В ДГТУ исследуют 3D-биопечать мясных продуктов Ученые кафедры «Биоинженерия» Донского государственного технического университета занимаются разработкой технологии производства мясных продуктов из выращенных в лабораторных условиях животных клеток с применением технологий 3D-печати.

MediaTek анонсировала релиз процессора Dimensity 9400 Процессор Dimensity 9400 станет первым 3-нм чипом компании MediaTek, который, как утверждается, будет использовать второе поколение литографии TSMC, что приведёт к повышению энергоэффективности и другим преимуществам в области производительности. И вчера генеральный директор...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Samsung выпустит чипы по 2 нм уже в 2025 году Компании Samsung и TSMC, по информации инсайдеров, начнут массовое производство чипов по технологическому процессу в 2 нм уже в 2025 году. И чтобы получить преимущество, по данным журналистов их Южной Кореи, Samsung рассматривает возможность предоставления потенциальным клие...

LG начала массовое производство гибких OLED-дисплеев для 17-дюймовых ноутбуков будущего LG Display объявила о старте массового производства 17-дюймовых гибких OLED-панелей для ноутбуков.

Раньше срока: Xiaomi 15 Pro на базе Snapdragon 8 Gen 4, с новым датчиком и спутниковой связью представят уже в сентябре Сообщается, что серия смартфонов Xiaomi 15 будет анонсирована вместе с однокристальной системой Snapdragon 8 Gen 4, а продажи начнутся уже в октябре. По данным известного инсайдера Digital Chat Station, массовое производство мобильных телефонов Xiaomi 15 ожидается в сен...

Завод «Пластмасс» Госкорпорации Ростех существенно нарастил объемы производства боеприпасов Сообщается, что с начала Специальной Военной Операции выпуск военной продукции вырос в пять раз.

Sitronics собирается приступить к серийной постройке судов на водороде Sitronics Ecocruiser Отечественная Sitronics Group, которая также делает зарядные станции для авто, продолжает тестировать технологии электросудов «водородного» класса и к концу текущего года планирует запустить их массовое производство со сдачей первых корпусов заказчикам ...

Sony приостановила выпуск гарнитуры виртуальной реальности PSVR2 из-за низкого спроса AR и VR технологии пока так и не стали массовыми

Российский «Аквариус» приступил к массовому производству 27-дюймовых отечественных IPS-мониторов К концу 2025 года ожидается выпуск 2.5 миллиона устройств за календарный год

5-минутная зарядка для электромобилей: Polestar представила прототип Израильская технологическая компания StoreDot, известная своей идеей пятиминутной зарядки электромобилей, в партнерстве с Polestar представила первый полномасштабный прототип, в котором реализована технология экстремальной быстрой зарядки (XFC) StoreDot. Массовое производств...

Названа самая продаваемая модель Suzuki в истории. Это не Vitara и не Jimny Suzuki, производитель автомобилей и мотоциклов со штаб-квартирой в Сидзуоке, Япония, достиг важной вехи, достигнув к концу августа 2023 года совокупного объема продаж автомобилей по всему миру в 80 миллионов единиц. В настоящее время производитель производит автомобили,...

Новые массовые отклонения Gmail начнутся в апреле 2024 года, сообщает Google Google ужесточает правила для массовых рассылок в Gmail с апреля, требуя аутентификацию отправителей и повышая безопасность

NYT: В США началось строительство завода по производству 155-миллиметровых снарядов для Украины Предприятие будет построено на территории Техаса и выпускать в месяц до 30 тыс. боеприпасов

Intel готова привлекать средства партнёров для финансирования своих предприятий в Ирландии В экспансии производства все средства хороши.

Бывший глава Tesla осудил компанию за отказ от идеи выпуска массового электромобиля И призвал не увлекаться «технологиями ради технологий».

Чипсет Apple A19 Pro не будет построен по 2-мм технологии Утверждается, что 2-нм узел TSMC не будет готов к массовому производству в следующем году.

Готовится к массовому производству GDDR7 - новый стандарт памяти для графических процессоров Ведущие производители полупроводниковой памяти, включая SK hynix, Micron и Samsung, объявили о планах по массовому выпуску GDDR7.

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

В Великобритании изготовили восьмой прототип новейшего танка Challenger 3 В настоящий момент уже протекают испытания новой техники и уже по их результатам начнётся массовое производство

В России разработали инструмент для производства микросхем, способный заменить литографию В России тоже хотят производить чипы

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Китай ответит на санкции США самым передовым литографом, работающим на ускорителе частиц. С его помощью Китай сможет создавать 2-нанометровые чипы Как пишет South China Morning Post, Китай изучает новые возможности производства чипов внутри страны. И одной из таких является передовой литограф, работающий на ускорителе частиц. Команда из Университета Цинхуа уже ведет активные переговоры с властями нового района Сюн...

Сбер поможет АвтоВАЗу выпустить новый автомобиль, в котором могут появиться ИИ-технологии и сервисы банка Сбербанк обсуждает возможность интеграции своих цифровых сервисов в мультимедийную систему автомобилей Lada, о чем сообщила пресс-служба банка. Сбербанк и АвтоВАЗ уже обсудили расширение сотрудничества в сфере цифровизации производства. В рамках этого партнерства рассма...

Завтра Xiaomi выпустит часы Wrist ECG Blood Pressure Recorder, измеряющие артериальное давление Компания Xiaomi анонсировала выпуск 26 октября первых смарт-часов для мониторинга артериального давления.

"Закон о чипах" заставляет азиатских производителей полупроводников переносить свои мощности в США Закон о чипах привлекает инвестиции в производство полупроводниковой продукции на территории Америки, обещая 39 миллиардов долларов США в виде льгот на производство в дополнение к 25%-ным инвестиционным налоговым кредитам.

На автомобили УАЗ вернутся подушки безопасности Ульяновский автомобильный завод (УАЗ) намерен вернуть подушки безопасности в комплектацию своих автомобилей. Об этом сообщил журналистам ТАСС генеральный директор завода Алексей Спирин. По его словам, вернуть подушки безопасности на автомобили УАЗ планируется в начале 2...

Exynos 2400 сможет тягаться со Snapdragon 8 Gen 3? Новая платформа Samsung будет намного энергоэффективнее Топовые однокристальные системы Samsung Exynos из года в год в целом проигрывают флагманским решениям Qualcomm, и не в последнюю очередь из-за худшей энергоэффективности. Новая SoC Exynos 2400 в этом вопросе, возможно, станет исключением. Как сообщается, Samsung начнёт ...

Контрактный бизнес Intel нужен, чтобы окупить затраты на EUV-литографию А субсидии властей помогут развивать производство чипов в США и Европе.

Президент АвтоВАЗа подтвердил: кроссовер на базе Lada Vesta в ближайшие два года Президент АвтоВАЗа Максим Соколов рассказал дополнительные подробности о планах российского автомобильного гиганта в ходе Баркемпа-2023 «Национальная технологическая революция 20.35». По словам топ-менеджера, в ближайшие два года мы можем увидеть кроссовер н...

КМЗ заключил крупные контракты на импортозамещение с применением аддитивных технологий Кингисеппский машиностроительный завод заключил контракты на сумму свыше ста миллионов рублей с частными предприятиями на производство комплектующих для оборудования ушедших с российского рынка западных компаний. В производстве литейных форм завод использует 3D-принтеры собс...

Технология нанопечати может найти применение при производстве микросхем памяти В этом убеждены представители Micron.

Пентагон назвал военной китайскую компанию YMTC, у которой Apple хотела покупать флеш-память Очередная крупная китайская компания попала под прицел санкционной машины США. Пентагон обновил список компаний из Поднебесной, которые, по данным самого Пентагона, так или иначе связаны с военным сектором Китая.  В данном случае в список так называемых военных ко...

Samsung испытывает проблемы с качеством и энергоэффективностью своих 3-нм чипов Несмотря на громкие заявления о массовом производстве 3-нм чипов, Samsung Foundry продолжает испытывать серьезные технологические сложности. Высокий брак и низкая энергоэффективность продукции вынуждают ведущих игроков рынка, включая Nvidia, AMD, Intel и Apple, отдавать пред...

Lada Niva с ABS уже сходят с конвейера АвтоВАЗа Судя по всему, АвтоВАЗ скоро запустит массовое производство внедорожников Niva Legend и Niva Travel с ABS. Как пишет инсайдерский паблик Avtograd News, такие машины в единичных экземплярах уже собирают. «С конвейера АвтоВАЗа сошло несколько пробных автомобил...

В США уже завтра начнутся продажи часов Apple Watch Series 9 и Ultra 2 без датчика кислорода в крови Apple объявила, что начиная с 18 января, модели Apple Watch Series 9 и Apple Watch Ultra 2 будут продаваться в США без функции измерения кислорода в крови.

В Ижевске вовсю готовятся к перезапуску производства Lada Largus. В конце января будет сварен первый кузов из панелей, сделанных на предприятии АвтоВАЗ планомерно реализует проект по возобновлению производства Lada Largus в России – в Ижевске. По словам генерального директора завода Александра Богачёва, в конце января на предприятии сварят первый кузов из узлов, произведённых непосредственно в Ижевске. П...

РУСАЛ разработал жаропрочный алюминиевый сплав для 3D-печати Компания «РУСАЛ» завершила разработку порошкового сплава, предназначенного для производства изделий методом 3D-печати по технологии лазерного сплавления. Сплав с эксплуатационными температурами свыше 350°С может найти применение в производстве двигателей, оборудования для не...

В России началось производство коробок передач JAC На территории Заволжского моторного завода, который является частью группы Sollers, стартовала сборка 6-ступенчатых механических коробок передач JAC, о чем сообщает инсайдерский канал «Автопоток». Первые экземпляры новых агрегатов были собраны еще 16 феврал...

Экраны Tandem OLED от LG появятся не только в технике от Apple Линейка Apple MacBook Pro не будет первой, в которой будет использоваться технология Tandem OLED, поскольку LG начала массовое производство таких дисплеев для ноутбуков.

Samsung намерена начать производство своего 3-нм чипсета Exynos во второй половине 2024 года О выпуске Samsung Galaxy S25, который ожидается в 2025 году, говорят уже давно. Наконец, новый чипсет Exynos, по слухам, поступит в массовое производство во второй половине 2024 года.

SK hynix утверждает, что первой начала массовый выпуск памяти типа HBM3E И уже в этом месяце начнёт отгружать её клиенту.

Intel за счёт перехода на EUV смогла снизить себестоимость производства чипов почти в два раза И передовая литография в дальнейшем будет для неё оставаться важным источником дохода.

ByteDance сокращает геймдев- начались массовые увольнения в Nuverse ByteDance, компания-владелец TikTok, объявила о массовых увольнениях в своем игровом подразделении Nuverse после двух лет амбициозных попыток войти на рынок видеоигр

АвтоВАЗ прекратил производство автомобилей. Его планируют возобновить только 9 января АвтоВАЗ ушёл на новогодние каникулы и возобновит производство только на следующей неделе, о чем сообщает инсайдерский паблик Avtograd News в соцсети «ВКонтакте». Для двух линий ВАЗа закончилась трудовая неделя и календарный 2023 год. 29 декабря конвейер сбор...

NYT: ВСУ начнут атаки российской территории американским оружием через несколько часов или дней Положение украинской армии настолько серьёзно со знаком минус, что западных лидеров видимо уже не пугает угроза применения в некоторых исключительных случаях так называемого ТЯО уже по своим землям

Клиенты не хотят прожорливые чипы Samsung и заказывают у TSMC даже после повышения цен Цена 3-нм чипов производства TSMC выросла на 5%, но несмотря на это, заказов по-прежнему не хватает. Аналитики говорят, что клиенты отдают предпочтение надежности и качеству, а не цене. Ожидалось, что что после повышения цен TSMC компания Samsung переманит некоторых кли...

АвтоВАЗ выпускает по машине каждые 26 секунд. Уже в декабре начнётся производство новой модели Президент компании АвтоВАЗ Максим Соколов заявил, что завод работает без остановки несмотря на санкции. «Хотя, конечно, проблемы в связи с этим возникли, но мы их решаем. Каждые 26 секунд с конвейеров предприятия сходит один новый автомобиль, и каждый из них наход...

Betavolt создала аккумулятор, позволяющий использовать телефоны без подзарядки 50 лет Цель Betavolt - запустить технологию ядерной батареи в массовое производство и обеспечить ее использование в таких устройствах, как телефоны и беспилотники.

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

Россия наращивает производство мощных фугасных бомб для применения в СВО В рамках военных операций Россия усиливает свой арсенал, возобновляя производство мощных фугасных авиабомб ФАБ-3000, а также увеличивая выпуск бомб ФАБ-500 и ФАБ-1500.

Исследование: проблемы в финансах могут стать ранним признаком деменции Ученые из Ирландии обнаружили, что трудности в управлении финансами могут сигнализировать о деменции за несколько лет до постановки диагноза. Исследователи из Университетского колледжа Дублина и Национального университета Ирландии проанализировали банковские операции людей с...

Полупроводниковое оборудование у TSMC деактивируют в случае конфликта на Тайване По данным Bloomberg, нидерландская компания ASML предусмотрела в своих установках для экстремальной УФ-литографии возможность дистанционного отключения.

Иркутский авиационный завод проводит испытания 3D-печатных фиксаторов Сотрудники Иркутского национального исследовательского технического университета (ИРНИТУ) разработали термофиксаторы с применением аддитивных технологий по заказу Иркутского авиазавода, филиала ПАО «Яковлев». Оснастка проходит испытания на заготовительно-штамповочном произво...

В этом году выпущено всего 3000 новых российских Solaris AGR Automotive Group (ООО «АГР») в первом квартале 2024 года выпустила 3 тысячи автомобилей под брендом Solaris, о чем было официально объявлено сегодня, 1 апреля. Производство автомобилей Solaris началось бывшем заводе Hyundai в феврале 2024 года. Уже в&nbs...

Украина планирует купить у Турции истребители 5-го поколения, выпуск которых пока не стартовал Есть все основания полагать, что серийное производство KAAN начнётся очень нескоро

Intel понадобились миллиарды для нового завода в Ирландии По сообщениям, компания Intel ведет переговоры с такими крупными инвесторами, как Apollo Global Management, KKR и Stonepeak, ради финансирования нового завода в Ирландии. Это последует за аналогичной сделкой с Brookfield Infrastructure Partners по расширению производства Int...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

В Челябинске начнут производить новейшие высокотемпературные двигатели Изображение иллюстративное В третьем квартале текущего года в России будет запущено массовое производство новейших двигателей, устойчиво работающих при температуре более 100 градусов. Данные моторы предназначены для применения в технике и механизмах, функционирующих в экстр...

AMD прекращает выпуск старых моделей Xilinx CPLD и FPGA Компания AMD без лишнего шума выпустила уведомление о прекращении выпуска продукции

LG Display Tandem OLED поступает в массовое производство: на 40% тоньше и на 28% легче OLED LG Display объявила о массовом производстве тандемных OLED-панелей, которые впервые будут доступны в системах Dell XPS.

Началось производство нового Skoda Kodiaq: завод выпускает 410 машин в день Компания Skoda объявила о старте массового производства своего нового кроссовера Kodiaq второго поколения. Сборка автомобиля осуществляется на заводе бренда в чешских Квасинах, предыдущем месте производства седана Skoda Superb. Согласно информации от пресс-службы компан...

Kia собирается первой выпускать машины, которые смогут ехать вбок. Технология Hyundai e-Corner почти готова Компания Hyundai Mobis представила на выставке CES автомобиль Mobion, о котором мы уже сообщали. Он оснащён системой e-Corner нового поколения, которая позволяет всем четырём колёсам поворачиваться независимо на угол более 90 градусов. Самым важным является то, что техн...

SAIC может стать первым автопроизводителем, который запустит массовое производство твердотельных аккумуляторов Китайский производитель автомобилей SAIC заявляет, что начнет массовое производство твердотельных аккумуляторов примерно в 2026 году, а это означает, что он может стать первым автопроизводителем, который начнет коммерциализировать подобные аккумуляторы. Во время недавне...

В России начнут выпускать импортозамещенные поршневые авиадвигатели На территории московской индустриальной площадки «Руднево» в ближайшее время начнут серийно производить поршневые авиационные двигатели, которые предназначены для применения в беспилотниках гражданского назначения. Крупносерийный выпуск налаживает московская компания «Аэрома...

Запорожский завод начнёт поставки комплектующих для КамАЗов: чем они лучше решений конкурентов Запорожское предприятие ООО «МДК-Гидросила» заключило договор о поставках гидравлических распределителей для КамАЗов. «В соответствии с подписанным сегодня в Москве договором компания из Запорожской области "МДК-Гидросила" будет поставлять в ...

InnoGrit представила первый китайский PCI-E 5.0 SSD-контроллер Он уже поступил в массовое производство

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Arm запустит собственный ИИ ускоритель в массовое производство к 2025 году Японский технологический гигант в очередной раз меняет свой бизнес, на этот раз делая многомиллиардную ставку на технологии искусственного интеллекта.

«Сделано на Дону». Производство планшетов и смартфонов запустят в Ростовской области Губернатор Ростовской области Василий Голубев заявил, что российская компания «Бештау» планирует начать производство планшетов и смартфонов в Ростовской области. «Если посмотреть немного дальше, в 2027 год, то компания перед собой ставит цели, пра...

США выделяют средства для производства большого количества дронов-камикадзе Switchblade 600 Данные беспилотники будут развернуты в Индо-Тихоокеанском регионе для применения против Китая в случае конфликта

Российские учёные начали выпуск полимерных «запчастей» для людей В России началось производства полимерных тканей человека

Цветы в технологиях: инновационные способы использования цветов в производстве и инжиниринге Цветы, помимо своего эстетического значения, находят применение в различных областях, включая технологии и инжиниринг. Инновационные способы использования цветов в производстве и инжиниринге открывают перед нами новые перспективы и возможности. Они помогают не только создава...

BAIC, CATL и Xiaomi Auto объединили усилия: компании решили заняться выпуском умных аккумуляторных элементов BAIC BluePark New Energy Technology объявила, что для обеспечения стабильных поставок аккумуляторов и поддержки промышленного развития компания планирует создать платформенную компанию в партнерстве с BAIC Investment и Beijing Hainachuan. Уставный капитал платформенной ...

Начался массовый выпуск Lada Granta в новом цвете АвтоВАЗ победил европейское оборудование, которое не хотело дружить с китайскими эмалями: завод наладил массовый выпуск Lada Granta, окрашенных в цвет «Борнео» при помощи китайской металлизированной эмали. «Сегодня, 29 ноября, с конвейера ВАЗа сошло 9...

Ferrari выпустит первый электрический гиперкар уже в конце 2025 года Для массового выпуска таких авто компания построила отдельный завод.

СМИ: Завтра в Индийском океане начнутся российско-китайско-иранские военно-морские учения Цель таких учений многогранна, а сами учения становятся регулярными.

Россия возобновляет производство трёхтонных бомб ФАБ-3000: какую роль они сыграют на поле боя Применение российских авиационных бомб позволило России эффективно поражать укрепрайоны Вооружённых сил Украины. Изначально страна атаковала украинские позиции бомбами весом полтонны, но в феврале этого года было развёрнуто массовое производство трёхтонных ФАБ.

ASML поставила Intel литографическое оборудование на $300 млн ASML, голландский гигант по производству полупроводникового оборудования, объявила о поставке своих новейших систем экстремальной ультрафиолетовой литографии «High NA» другому технологическому титану Intel.

Эксперт предрекает остановку производств и ухудшение жизни в Европе в случае холодной зимы Если в ЕС начнутся морозы, европейским гражданам и промышленности может не поздоровиться.

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

АвтоВАЗ начал выпускать Lada Vesta без подушек безопасности Как сообщил паблик Avtograd News, АвтоВАЗ с сегодняшнего дня начал выпускать Lada Vesta без подушек безопасности. Связано это с дефицитом этих систем безопасности. «АвтоВАЗ с 11 декабря 2023 года из-за нехватки комплектующих начал выпуск автомобилей Vesta без под...

Минпромторг может запретить госкомпаниям закупать оборудование у иностранных производителей Консорциум «Вычислительная техника» («Аквариус», Yadro, Depo и др.) обсуждает с Минпромторгом РФ возможность изменения правил постановления правительства №878 «О мерах стимулирования производства радиоэлектронной продукции на территории РФ». Цель консорциума заключается в то...

Росатом начал тестирование нового оборудования в Северске На опытно-демонстрационной площадке проекта «Прорыв» в городе Северск, Томская область, начались испытания уникального оборудования для производства инновационного ядерного топлива. Это событие отметили на международном форуме «Атомэкспо-2024», который проходит в федеральной...

В сети появилось видео массового взлета 12 бомбардировщиков B-2 Spirit с базы ВВС США Дюжина B-2 приняла участие в учениях по массовому взлету на базе ВВС США Уайтмен, штат Миссури, в рамках ежегодного учения Spirit Vigilance. Этот массовый взлет служит сигналом об оперативной готовности самолетов B-2 на случай серьезных кризисных ситуаций.

«Росэлектроника» начала выпуск СВЧ-модулей для радаров Фото: «Росэлектроника» «Росэлектроника», интегрированная в обширную структуру «Ростеха», завершила разработку и приступила к массовому производству семейства отечественных СВЧ-модулей, которые входят в состав радиоэлектронной аппаратуры с наземным базированием. При этом мод...

Новая версия Lada Niva Travel выйдет уже в июне АвтоВАЗ начнет массовое производство внедорожников Lada Niva Travel с обновленной мультимедийной системой Lada EnjoY Pro в конце мая, о чем сообщает инсайдерский паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте». Как уже сообщалось, Lada Niva Tra...

TSMC начнёт выпуск тестовых 4-нм чипов на новом предприятии в Аризоне в этом месяце Массовое будет развёрнуто к первой половине следующего года.

Китай обнародовал план массового производства человекоподобных роботов Согласно плану, опубликованному Министерством промышленности и информационных технологий (MIIT) Китая, эта страна готовится реализовать амбициозный проект массового производства человекообразных роботов в течение двух лет.

SK hynix планирует начать массовое производство GDDR7 в первом квартале 2025 года Образцы памяти для тестирования у компании уже есть.

Samsung первой в мире начала массовое производство чипов V-NAND 9-го поколения емкостью 1 ТБ Samsung разработала самый маленький в мире размер ячейки.

Samsung начинает массовое производство флэш-памяти V-NAND 9-го поколения Первые кристаллы имеют ёмкость 1 терабит.

TSMC потратит 4 млрд долларов на EUV-машины для 2-нм техпроцесса TSMC готовится к массовому производству по передовому 2-нм технологическому процессу, которое должно начаться в 2025 году. Важнейшим элементом подготовки является закупка оборудования для EUV-литографии. В течение следующих двух лет TSMC получит более 60 EUV-машин на сумму б...

Первые автомобили УАЗ планируют начать выпускать на заводе «Чеченавто» в 2024 году Председатель правительства Чечни Муслим Хучиев заявил, что выпуск автомобилей УАЗ на автомобилестроительном заводе «Чеченавто» планируют начать в 2024 году. «Вы уже можете проехать на чеченской "Газеле", на чеченском "Автовазе", но,...

В США один из худших показателей сроков строительства промышленных объектов. Это влияет и на постройку заводов по выпуску полупроводников США уже несколько лет придерживаются стратегии постройки на своей территории новых фабрик по производству полупроводников и не только. Оказалось, при этом в США одни из самых долгих сроков строительства таких объектов.  создано DALL-E Исследователи CSET оценили пр...

Это первые наушники Xiaomi открытого типа. Официальные изображения и старт приёма предзаказов Xiaomi готовится расширить линейку своей продукции выпуском первых наушников открытого типа. Компания подтвердила, что эти наушники дебютируют на предстоящей конференции, назначенной на 10 апреля, где основное внимание будет уделено Redmi Turbo 3. На мероприятии также б...

Android движется по пути RISC-V Экосистема Android движется по пути архитектуры RISC-V, которая в скором времени получит поддержку данной ОС. Компания Qualcomm объявила о выпуске первой массовой SoC для Andoid архитектуры RISC-V.

Цифровая музыка на микрокомпьютерах (часть 1/2) Сегодня, когда любой школьник имеет возможность сочинять модные биты на своём домашнем компьютере или смартфоне, идея применения компьютерных устройств для создания музыки никого не удивляет. Кажется, что эти технологии с нами уже очень давно. Однако, массовому пользователю...

В Китае могут запустить массовое производство HBM памяти к 2026 году Такая память используется преимущественно в специализированных ускорителях вычислений, предназначенных для технологий искусственного интеллекта

Huawei и тут собирается обойти санкции США. Компания наладит собственное производство памяти HBM Компания Huawei собирается выйти на новый для себя рынок, который позволит ей создавать более производительные чипы. Речь о производстве памяти HBM.  Huawei Technologies объединяется с Fujian Jinhua Integrated Circuit и другими китайскими компаниями для разработки...

Россия готова выпускать Lada на новых мощностях в Казахстане По итогам переговоров между Россией и Казахстаном, президент РФ Владимир Путин заявил о готовности России создать новые производственные мощности для автомобилей Lada в Казахстане. Это могут быть дополнительные заводские сооружения, где начнется выпуск автомобилей от ко...

TSMC и Synopsys запускают в производство передовую платформу вычислительной литографии NVIDIA TSMC и Synopsys объявляют о запуске в производство платформы вычислительной литографии NVIDIA, которая будет ускорять производство и расширять границы физики для следующего поколения полупроводниковых чипов

В Подмосковье началось строительство завода жизненно необходимых лекарств В пресс-службе Министерства инвестиций, промышленности и науки Московской области рассказали, что на территории особой экономической зоны "Дубна" в Подмосковье стартовали строительные работы завода "Аргументум фарма", который будет заниматься производством жизненно важных ле...

Sonos объявила о задержке выпуска своих новых наушников Компания Sonos столкнулась с технической неисправностью своих новых наушников, что привело к задержке массового производства. Этот этап, известный как тестирование валидации производства (PVT), был приостановлен из-за обнаруженного бага всего за две недели до начала массовог...

Новый препарат вылечил опасное для жизни нарушения свертываемости крови В ходе клинического применения команда под руководством исследователей из Массачусетской больницы общего профиля успешно использовала новый препарат для спасения жизни пациента, страдающего иммунной тромботической тромбоцитопенической пурпурой (iTTP), редким и тяжелым з...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Tesla объявила дату первых поставок Cybertruck — 30 ноября 2023 года Компания планировала начать массовое производство модели ещё в 2021 году.

Вот бы такую память для GeForce RTX 5090. Samsung изготовила первый 16-слойный стек памяти HBM Компания Samsung изготовила первый образец 16-слойного стека памяти HBM.   Образец работал нормально, но пока что компания не собирается запускать такие чипы в массовое производство. Судя по всему, массовыми такие стеки станут уже с выходом HBM4, а до этого ещё ми...

Canon хочет бросить вызов ASML с помощью более дешевой машины для наноимпринтной литографии 5 нм Если технология NIL сможет увеличить производительность и снизить затраты, она может найти свое место

Apple, возможно, выпустит первый складной смартфон к 20-летию iPhone Новинка обещает сочетание инновационных технологий и уникальный дизайн, характерные для продукции Apple.

TSMC удвоит производство процессоров для ИИ По сообщениям западных журналистов, компания TSMC вкладывает огромные инвестиции в расширение производственных мощностей, потому что компания хочет в текущем году удвоить выпуск полупроводниковой продукции — особенно в области производства CoWoS. Упаковка CoWoS считается важ...

Ростех запустил серийное производство модулей управления для беспилотников Госкорпорация "Ростех" объявила о начале серийного производства инновационных модулей управления для беспилотных летательных аппаратов (БПЛА), что является значительным шагом в развитии отечественных технологий в этом направлении. Новые модули повысят эффективность применени...

Huawei продала мобильных процессоров на 6 миллиардов долларов Релиз нового процессора Kirin 9000S в прошлом году, а затем и его улучшенной версии Kirin 9010, который используется в новейшей серии смартфонов Huawei Pura 70, помог компании вернуться на рынок смартфонов после достаточно длительного простоя. По данным инсайдеров из Азии, п...

Samsung Galaxy S26 может получить 2-нм чип Samsung Tethys Samsung работает над 2-нм техпроцессом, при этом компания хочет первой выпустить такие чипы, превзойдя TSMC. Как пишет gizmochina, компания получила первый заказ на производство 2-нм чипов от японской компании Preferred Networks (PFN). Кроме того, появились сведения, чт...

Huawei готовится к производству 3-нм чипов Китай уже наладил выпуск 7-нм чипов по собственной технологии и уверенно движется дальше в этом направлении.

В Литве заявили о необходимости наращивания Западом военного производства до уровня холодной войны Командующий ВС Литвы считает, что страны Запада просто обязаны существенно нарастить объёмы выпуска военной продукции

Производство электроники и компьютеров в Москве выросло более чем на 296% Заммэра Москвы по вопросам экономической политики и имущественно-земельных отношений Владимир Ефимов сообщил, что столичные производители электроники и компьютеров увеличили выпуск продукции на 296% в январе текущего года по сравнению с прошлым годом. Это свидетельствует о р...

Суд оштрафовал «Самокат» на 20 тысяч рублей по делу о массовом отравлении продукцией Greenbox С 14 сентября 2023 года суд приостановил работу Greenbox на два месяца.

В России будет больше дешёвых машин: АвтоВАЗ значительно увеличит производство самых бюджетных Lada Granta Волжский автомобильный завод (АвтоВАЗ) планирует существенно нарастить выпуск автомобилей Lada Granta в базовой комплектации Standard в 2024 году. Об этом сообщил источник на заводе порталу Авто Mail.Ru. По словам собеседника, речь идет о нескольких десятках тысяч автом...

Вот это поворот: бестселлеры Chery Tiggo 7 Pro и Tiggo 8 станут «Ладами» Как сообщает самарское издание «Автоброкер Клуб» со ссылкой на свои источники, АвтоВАЗ собирается локализовать производство популярных кроссоверов Chery Tiggo 7 Pro и Tiggo 8 под собственным брендом Lada. Кроме того, собственные источники издания «Авто...

Компания «Аддитивный инжиниринг» на четверть нарастила объем выпускаемой продукции Нарастить производство резиденту особой экономической зоны «Технополис Москва» удалось за счет расширения парка промышленных 3D-принтеров и внедрения новых технологий 3D-печати.

Началось серийное производство газовых «Ситимаксов» Павловский автобусный завод (ПАЗ), входящий в «Группу ГАЗ», приступил к серийному производству автобусов ПАЗ-422320-14 «Citymax-9», работающих на компримированном природном газе (КПГ).  Прототип газового «Ситимакса» был впервые ...

Видео: в России начался первый этап учений по применению нестратегического ядерного оружия Учения проводятся в ответ на безрассудные заявления отдельных представителей коллективного Запада.

Завтра будет представлен смартфон Honor Play 50 Plus Компания Honor официально сообщила о выпуске завтра, 10 октября, нового смартфона Honor Play 50 Plus.

Российский завод Nissan полноценно заработает в 2024 году. Будут выпускать Lada Х-Cross 5 и какой-то седан Глава АвтоВАЗа Максим Соколов на конференции «Национальная технологическая революция 20.35» рассказал, когда начнется по-настоящему массовое производство автомобилей на бывшем российском заводе Nissan.   Изображение: Lada «Мы рассчитываем, что по...

Министр обороны Франции заявил, что в стране закончился порох для выпуска снарядов для Украины Производство пороха расширяется, но первые поставки начнут оказывать влияние на выпуск боеприпасов только к середине 2025 года

Подорожают все новейшие чипы? Apple, Qualcomm, Nvidia и AMD зарезервировали все мощности TSMC по выпуску 3-нанометровых чипов и собираются повышать цены Тайваньская экономическая газета сообщает, что буквально вся продукция TSMC, производящаяся по нормам 3 нм, теперь зарезервирована всего четырьмя ведущими технологическими гигантами: Apple, Qualcomm, Nvidia и AMD. И это приведет к росту цен.   фото: Reuters Заказы...

ВС России впервые массово применили кассетные бомбы РБК-500 в зоне СВО В Telegram-каналах опубликовали первые кадры массового применения кассетных бомб РБК-500 в зоне СВО. Они поразили позиции ВСУ в районе Старомайорского.

Липецкий механический завод запустил массовое производство корпусов для отечественных ЗРК Первый экземпляр, произведённый на предприятии уже отправлен заказчику

Саратовские предприятия заместят 46 видов импортной продукции Министр промышленности и энергетики Саратовской области Михаил Торгашин сообщил, что промышленные предприятия региона нацелились на освоение выпуска более 46 видов новой продукции в 2024 году, чтобы заменить импортные товары. В частности, планируется производство минеральных...

Huasun представил гетеропереходные солнечные модули 0BB с технологией Zero Busbar   Компания Huasun Energy, крупнейший в мире производитель продукции для гетеропереходов (HJT), представила свою последнюю инновацию в области высокоэффективных модулей с инновационной технологией Zero Busbar (0BB). Это передовое усовершенствование было легко интегрирова...

50 лет назад начался выпуск Volkswagen Golf первого поколения С 29 марта 1974 года Volkswagen произвел около 37 миллионов единиц Golf, а его исторический завод в Вольфсбурге выпустил около 20 миллионов из ни

АвтоВАЗ перезапустит производство практичной, популярной и высокомаржинальной Lada Largus во втором квартале 2024 АвтоВАЗ планирует перезапустить производство семейства Lada Largus на заводе в Ижевске во втором квартале 2024 года, о чем сообщил представитель компании в интервью РИА «Новости». В августе АвтоВАЗ объявил о переносе производства Lada Largus с основной площа...

Наше — началось серийное производство российских мониторов «Аквариус» Компания «Аквариус» заявил о начале серийного производства российских мониторов. Доступны две модели с экранами диагональю 27" и 23,8". Обе оснащены антибликовым покрытием, имеют разрешение Full HD и поддерживают технологии Low Blue Light, FreeSync...

Производитель ABS «Итэлма» наладит в России выпуск печатных плат Производитель автоэлектроники «НПП Итэлма», который занимается выпуском систем ABS, планирует запустить в России производство печатных плат, о чем пишет «Коммерсантъ» со ссылкой на заявление самой компании. Компания планирует вложить порядка...

Япония вложит 67 миллиардов долларов в производство чипов на территории страны Власти планируют вернуть Японии лидерство в сфере современных технологий

Новейший завод TSMC в «режиме спринта», с опережением графика, начнёт производство новейших чипов Apple Огромный завод TSMC в Аризоне ускоряет темпы подготовки к производству. Как пишет gizmochina, завод находится в «режиме спринта» и планирует начать пробное производство на своей первой производственной линии к середине апреля этого года. Если все пойдет глад...

Японские ученые создали препарат для роста зубов Японские ученые создали инновационный препарат, который способен стимулировать процесс роста зубов у людей. Первые клинические испытания на добровольцах начнутся уже в сентябре этого года, а массовые продажи лекарства запланированы на 2030 год. Разработка может стать прорыво...

Началось серийное производство Lada Vesta с мотором 1.8 EVO 122 л.с. и вариатором. Со слов тестировщиков, «КПП работает отлично, без д?рганий и пинков» Инсайдерский паблик Avtograd News сообщил о том, что со вчерашнего дня в Тольятти начался серийный выпуск Lada Vesta с новой агрегатной связкой – 122-сильным мотором 1.8 EVO и китайским вариатором. Со слов тестировщиков «КПП работает отлично». «...

Ради увеличения объемов производства АвтоВАЗ будет собирать машины и по субботам АвтоВАЗ снова будет работать по субботам с целью увеличения объемов производства, о чем сообщает инсайдерский паблик Avtograd News в соцсети «ВКонтакте». АвтоВАЗ для увеличения выпуска своей продукции вводит рабочие субботы в декабре 2023 года. Уже 9 декабря...

История Джона Чоффи: создателя первого в мире DSL-модема В 80-х годах многие компании, занимавшиеся системами связи, полагали, что будущее скоростного интернет-соединения — за оптоволокном. Скорость и пропускная способность выше, а затухание при передачи на большие расстояния — меньше. Однако технология передачи данных при помощи ...

В Самарском университете завершились испытания технологии производства турбин с применением 3D-печати В Институте двигателей и энергетических установок Самарского национального исследовательского университета имени академика С. П. Королева завершились приемочные испытания комплексной типовой технологии аддитивного производства деталей и узлов горячей части индустриальных газ...

ULA готовится к первому полету ракеты Vulcan Centaur Первый запуск ракеты-носителя Vulcan Centaur намечен на 24 декабря. Эти ракеты оснащаются двигателями производства американских компаний Aerojet Rocketdyne и Blue Origin. Последняя потеснила российское НПО «Энергомаш» с его РД-180. Силовые установки обеих американских компан...

Компания «АрктикТекс» увеличит производственные мощности в 3 раза Средства гранта будут направлены на развитие технологий создания электропроводящего текстиля и масштабирование производства гибких нагревательных элементов на территории Нанопарка «Гатчина».

«Первые тысячи FPV-дронов, первые сотни агродронов и дронов других применений», — массовое производство БПЛА стартует в Самарской области Генеральный директор компании «Транспорт будущего» Юрий Козаренко заявил, что до конца текущего года предприятие запустит серийное производство беспилотников на площадке в Самарской области. «До конца года мы уже запускаем корпуса. Мы начинаем серийное...

Массовое производство AR-гарнитуры Apple Vision Pro начнется уже в декабре В сети появилась информация, согласно которой Apple готовится запустить массовое производство своего первого продукта в области смешанной реальности — Vision Pro.

Продукция из Яньтая дебютировала в Пекине   В Пекинском выставочном центре началась специальная рекламная кампания «Добро пожаловать в Шаньдун, где делают совершенство». В Пекин одновременно поступила группа   продукции провинции Шаньдун. По мнению информационного отдела Муниципального народного правительства Яньтая, ...

Инсайдеры объявили апрель месяцем возрождения Lada Granta: возобновится производство машин с «автоматом» и универсалов Инсайдерский паблик Avtograd News сообщил о том, что сегодня на вазовском конвейере собрали первый после долгого перерыва универсал Lada Granta — Lada Granta Cross. «Скоро пойдут в более существенном количестве. Апрель будет месяц возрождения на линии Granta...

В США начали бесплатно раздавать AirTag и метки для Android для борьбы с массовыми автомобильными угонами Полиция Вашингтона, округ Колумбия, вторая в стране, которая бесплатно выдает Apple AirTag и похоже гаджеты для пользователей Android, чтобы противостоять всплеску угонов автомобилей. Департамент столичной полиции раздал бесплатные AirTags и отслеживающие устройств...

DigiTimes: TSMC начала массовое производство 3-нм кристаллов для новых процессоров Intel В частности, Intel выбрала 3-нм техпроцесс TSMC для производства вычислительной «плитки» будущих процессоров Core Ultra 200 семейства Lunar Lake.

Производство OLED-дисплеев для iPad Pro может начаться в феврале Компании LG и Samsung планируют начать массовое производство OLED-панелей для iPad Pro следующего поколения примерно в феврале 2024 года. Об этом сообщили авторы южнокорейской газеты Chosun Ilbo со ссылкой на собственные источники.Читать дальше... ProstoMAC.com.| Постоянная...

Не Китай, так Индия: производители мощных GPU поставляют всё больше продукции индийскому бизнесу. Что происходит? Вот уже несколько лет многие IT-компании из Китая находятся под жёсткими санкциями США. Экспортные ограничения не дают возможности производителям, которые работают с технологиями США, поставлять современную продукцию в КНР. В первую очередь под запретом находятся мощные GPU ...

Завтра будет названа дата выпуска смартфонов серии Meizu 21 Компания Meizu готовится анонсировать серию смартфонов Meizu 21 в Китае, продолжая регулярно намекать на особенности потенциальных новинок.

АвтоВАЗ назвал цвета, комплектации и другие детали о Lada Largus 2024 Старт серийного производства Lada Largus официально назначен на 15 мая 2024 года, а пока что АвтоВАЗ завершает подготовку к серийному производству, о чем рассказано в следующем видео. Прессовое производство локализовало 13 штампованных комплектующих, в основном это лице...

Xiaomi вышла на прибыль Во время публикации финансовой отчетности руководство компании подтвердило планы по запуску массового производства электрокаров в первой половине 2024 года.

В России запустят производство собственных подушек, ремней безопасности, рулевых колес и ЭБУ В 2025 году компания «Соллерс» планирует расширить свою деятельность, запустив производство ключевых компонентов для систем безопасности автомобилей на своем заводе в Ульяновске. Средства на этот проект в размере 1,2 миллиарда рублей были выделены из Фонда р...

В Тольятти начнется выпуск «мягкой» панели приборов для Lada Vesta. Производство перенесли из Ижевска в рекордные сроки АвтоВАЗ сообщил о завершении проекта переноса производства Lada Vesta из Ижевска в Тольятти, последним этапом переезда стал перенос технологии изготовления так называемой «мягкой» панели приборов. На это ушло всего 6 недель. Новое оборудование установили в Т...

Поставки Electrolux, Tefal, Braun и Rowenta в Россию начали активно блокировать: некоторые бренды могут внести в список параллельного импорта Продукцию Tefal и Rowenta могут включить в перечень товаров для параллельного импорта в Россию, о чем рассказали в пресс-службе Минпромторга РФ может. Tefal и Rowenta не включены в механизм параллельного импорта. Это означает, что в случае прекращения поставок, наличия ...

Новый автозавод по сборке Chery, Changan и Haval откроют в Казахстане: по полному циклу будут выпускать Tank 300 Крупный казахстанский автодилерский холдинг Astana Motors объявил о планах запустить в 2025 году мультибрендовое автосборочное предприятие. На новом заводе будет налажена сборка автомобилей трех китайских марок: Chery, Changan и Haval. Как сообщает издание Tengri Auto, ...

Test Jig. Подготовка к массовому производству По какой-то непонятно причине в ru сегменте довольно мало информации о том, что такое Test Jig и зачем он нужен. Он используется в массовом производстве электронных устройств, даже не содержащих микроконтроллер. Каждая плата, прошедшая сборочный конвейер, должна быть протест...

Nvidia прекращает массовое производство RTX 4070 Ti и RTX 4080. Модели Super ожидаются уже в январе Анонс видеокарт GeForce RTX 40 Super намечен на начало января. И ранее мы уже слышали, что некоторые из новых карт призваны не расширить линейку RTX 40, а заменить существующие модели. И вот теперь сообщается, что массовое производство RTX 4070 Ti и RTX 4080 уже прекращ...

Выручка TSMC начнёт расти в первом квартале 2024 года, остальным участникам рынка придётся ждать дольше Спрос на передовую литографию восстановится быстрее, чем на зрелую.

На калужском заводе Samsung собираются наладить выпуск телевизоров и бытовой техники VVP Group Дистрибутор VVP Group планирует запустить производство телевизоров на калужском заводе Samsung (принадлежит ООО «Самсунг Электроникс Рус Калуга»), о чем пишет «Коммерсантъ». По словам одного из источников, компания может быть владельцем или аренд...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Китай планирует заменить западные технологии на отечественные к 2027 году Китай анонсировал планы по замене американских технологий на продукцию местных производителей в госсекторе к 2027 году

Руководство Китая поставило промышленности задачу наладить массовое производство андроидов к 2025 году Как сообщает издание South China Morning Post, Правительство КНР поставило задачу наладить в предельно короткие сроки — а именно, к 2025 году — серийное производство человекоподобных роботов, а к 2027 году занять лидирующее положение в мире в этой области. Подтверждением том...

EnerVenue привлекает 515 миллионов долларов для крупномасштабного производства никель-водородных аккумуляторов Стартап EnerVenue, новатор в области хранения энергии, привлёк $515 000 000 в рамках нового раунда финансирования, как следует из отчёта SEC (Securities and Exchange Commission, комиссия по ценным бумагам и биржам США). Инвестиции обеспечат строительство нового зав...

Проектирование и строительство развязок в Тюмени осуществляется с применением ТИМ Главное управление строительства Тюменской области рассказало о применении технологий информационного моделирования дорог и транспортных развязок.

ADATA XPG внедрила в производство скоростной DDR5 новую технологию для улучшения охлаждения Технология будет применяться при производстве модулей со скоростью 8000 МТ/с и выше.

Самая практичная Lada встаёт на конвейер: изначально будут доступны базовые пассажирская и грузовая версии Largus 2024 Classic Представительная делегация АвтоВАЗ во главе с его руководителем Максимом Соколовым отправилась на завод «Lada Ижевск», о чем сообщает инсайдерский паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте». Как уже сообщалось, на 15 мая здесь ...

Китай и «эффективность» санкций США: голландский импорт литографии вырос в десять раз Удивительным образом Китай обходит американские ограничения на оборудование для производства микросхем, обращаясь к Нидерландам, которые в ноябре в десять раз увеличили импорт систем литографии. На общую сумму 762,7 миллиона долларов Китай ввез 16 единиц передового оборудова...

Все автозаводы в России могут обеспечить российскими ABS и ESC «Итэлма» сообщила, какие компании заказывают у неё комплекты антиблокировочной системе тормозов и системы динамической стабилизации. «Мы являемся поставщиком ABS/ESC на все модели автомобилей, которые сегодня производит АвтоВАЗ. Также начали работу с Группой ГАЗ и ведем пе...

Оглушительный успех Huawei Mate 60 вынуждает запустить производство Huawei P70 с опережением графика: доля китайских запчастей сильно превысит 90% Согласно последним новостям из цепочки поставок, поскольку линейка Huawei Mate 60 продаётся настолько хорошо, Huawei планирует начать массовое производство серии P70 раньше запланированного. По данным из цепочки поставок, Huawei начала резервировать детали и компоненты ...

CRISPR в генотерапии. История и право CRISPR – это революционная технология генной инженерии, которая позволяет точно редактировать ДНК. Применение его в генотерапии может изменить жизнь миллионов людей с генетическими заболеваниями и миллиардов – с приобретенными. В этой статье я рассмотрю историю применения CR...

Власти США выделили $285 млн на субсидирование создания цифровых двойников в национальном полупроводниковом производстве Это позволит оптимизировать освоение новых технологий при выпуске чипов.

Redmi K70, который будет мощнее Xiaomi 14 Pro, запущен в массовое производство Ван Тен Томас (Wang Teng Thomas), представитель бренда Redmi и генеральный менеджер отдела маркетинга Redmi, опубликовал в Weibo сообщение, в котором предположил, что смартфоны Redmi K70 вступили в стадию массового производства. Вчера Лу Вейбинг, президент Xiaomi Group,...

LG ускорит матрицу IPS Black до 360 Гц уже в 2024 году Ресурс TFTCentral опубликовал дорожную карту по выпуску на рынок новых панелей LG Display. Одной из заметных новинок станет 27-дюймовая матрица с разрешением 2560 х 1440 пикселей и кадровой частотой 360 Гц – производство таких панелей стартует в последнем квартале...

КамАЗ возвращается, как «Терминатор». Компания нарастила производство флагманских тягачей КамАЗ К5 в четыре раза КамАЗ отчитался о производственных успехах в текущем году – они есть и заметные. За первые месяцы текущего года автогигант произвел более 3 тыс. грузовиков поколения К5 – это в 2,5 раза больше, чем было произведено в 2022 году. Иными словами, КамАЗ справился...

Отставной генерал ВСУ признал превосходство России над Украиной в плане производства вооружений Завтра признают ещё одну очевидность: что Солнце восходит на востоке, а заходит на западе.

В Воронеже пройдет конференция «3D КонЦентрАТ» 1 декабря состоится шестая международная конференция по импортозамещению с применением комплексного реверс-инжиниринга и аддитивных технологий в различных сферах производства «3D КонЦентрАТ». Участников ждут экспертные оценки и актуальные решения из области аддитивных и 3D-т...

В Казахстане будут выпускать Tank 300, Сhery Tiggo 2 и Haval Jolion. Большая часть машин будет уходить на экспорт в другие страны «Астана Моторс» рассказала о будущем мультибрендовом заводе полного цикла AMMKZ: на этой площадке будут собирать машины трех китайских брендов — Chery, GWM и Changan (в этом и состоит уникальность предприятия). Изображение: Tank Территория огромна &md...

Высокий процент брака и плохая энергоэффективность. У Samsung большие проблемы с 3-нм техпроцессом Ранее ходили слухи, что Samsung добилась успеха со своими 3-нм техпроцессами, а разработка 2-нм техпроцессов продвигается гладко. Но, по данным Businesskorea, 3-нм техпроцесс Samsung Foundry по-прежнему значительно уступает технологиям TSMC как по проценту бра...

Российские инженеры создали новую сверхдешёвую технологию производства пластин для выпуска чипов Не исключено, что помешать реализации планов может помешать эмбарго галлия со стороны Китая

The National Interest: Удары бомбами ФАБ-3000 c УМПК сломают оборону ВСУ Начало массового применения таких боеприпасов не сулит ничего хорошего украинской ПВО.

ProLogium открыла первый завод по массовому производству твердотельных батарей для электромобилей Тайваньская компания ProLogium открыла завод по производству твердотельных батарей для электромобилей. Mercedes-Benz планирует использовать эти батареи в своих автомобилях к 2030 году.

Новый скафандр SpaceX создан с применением технологий 3D-печати Компания SpaceX сконструировала новые скафандры, на этот раз для выхода в открытый космос. Без аддитивных технологий не обошлось: компания утверждает, что шлемы изготавливаются на 3D-принтерах, при этом производство скафандров со временем планируется поставить на поток для о...

Крыловский центр отрабатывает 3D-печать корпусных моделей судов Крыловский государственный научный центр, компания-производитель 3D-принтеров Total Z и судостроительный заводом «Вымпел» выполнили 3D-печать модели яхты. Участники проекта считают, что аддитивные технологии могут эффективно применяться в массовом производстве малых объектов...

Samsung и AMD заключили очень крупный для обеих компаний контракт. Samsung будет поставлять AMD новейшую 12-слойную память HBM3e Компания Samsung заключила соглашение с AMD на сумму в 3 млрд долларов. В рамках этого соглашения Samsung поставит AMD новейшую память HBM3e.  Речь о новейшей разработке Samsung в виде 12-слойных стеков HBM3e, массовое производство которых начнётся позже в этом го...

Для SMIC выпуск 5-нм продукции будет дорогим удовольствием Но у Китая, похоже, нет другого выхода.

Китайцам удалось разработать и выпустить самую емкую в мире флеш-память. В чем прогресс? О компании YMTC мы несколько раз уже писали на Хабре. Например, в этой статье мы показали, как санкции США ударили по производству китайских чипов памяти. Тем не менее, бизнесу в КНР, связанному с производством электроники, удается не только выживать, но и реализовывать вес...

Холдинг «Росэлектроника» готовится к массовому производству операционных усилителей Госкорпорация «Ростех» завершила разработку операционных усилителей, предназначенных для замены зарубежных аналогов в промышленной электронике. Серийное производство планируется начать в 2024 году.

Иран предупреждает Израиль через его союзников о военном вмешательстве в случае наземной операции «Завтра будет поздно», - заключил Министр иностранных дел Ирана Хосейн Амир Абдоллахиян.

УАЗ «Патриот» получит обновленный двигатель уже в декабре. Что заменили? Автомобили УАЗ получат обновленный двигатель с другими звездочками привода газораспределительного механизма (ГРМ). «Коломенский завод порошковой металлургии» в городе Коломна Московской области запустил серийное производство комплектующих для новых двигателе...

Калининградский производитель электроники GS Group объявляет о переходе на ODM-производство Компания GS Group из Калининграда анонсирует свою новую стратегию ODM-производства, включающую полный цикл услуг от разработки до производства электроники, а также поддержку в регистрации продукции для государственных закупок.

Китай полностью запретил экспорт редкоземельных металлов для производства магнитов Китай ответил на американские санкции очередным ограничением, которое, скорее всего, окажется для оппонентов более ощутимым. КНР ввела запрет не просто на экспорт редкоземельных металлов — процесс начался несколько месяцев назад, — но и любых технологий для производства магн...

В России запустили новую линию по производству филамента для 3D-принтеров Новую производственную линию по изготовлению нитей для 3D-принтеров запустили в Самарской области, о говорится в сообщении пресс-службы правительства. «В Безенчукском районе производитель филамента запустил в эксплуатацию новую производственную линию, которая на с...

Xiaomi бросает вызов Tesla, выпуская по одному SU7 каждые 76 секунд Китайская компания Xiaomi, известная своими инновациями в сфере электроники, раскрыла подробности о передовых производственных процессах, которые она использует для выпуска своего электрического внедорожника SU7. Xiaomi бросает вызов лидерам рынка, демонстрируя высокую степе...

В Бундестаге поддерживают применение Taurus по российским территориям Однако ракеты так и никто не поставил.

Посадку на поезда по биометрии в России протестируют уже в начале 2024 года Гендиректор Центра биометрических технологий (ЦБТ) Владислав Поволоцкий заявил, что ЦБТ и РЖД планируют в первом полугодии 2024 года в закрытом режиме провести эксперимент по посадке на скоростные поезда дальнего следования при помощи биометрии. «С коллегами из РЖ...

В три раза больше памяти: первые карты «Тройка» с российским чипом нового поколения поступили в продажу В кассы и автоматы по продаже билетов метро поступили первые «Тройки» с российским чипом нового поколения. О нововведении рассказал руководитель Департамента транспорта Москвы Максим Ликсутов.  Иллюстрация: Дептранс Максим Ликсутов сообщил: В продаже е...

Внутри дисковода HP от 1977 года найден уникальный чип по технологии кремния на сапфировой подложке Технология по энергоэффективности превосходит современные чипы, но так и не стала массовой

Внутри дисковода HP от 1977 года найден уникальный чип по технологии кремния на сапфировой подложке Технология по энергоэффективности превосходит современные чипы, но так и не стала массовой

В РГУ созданы металлотрикотажные ткани для космической отрасли Фото: пресс-служба РГУ им.Косыгина Исследователями из РГУ им.Косыгина разработана технология массового выпуска металлотрикотажных тканей в виде сетеполотен, связанных из специальной металлической проволоки. Они отличаются гибкостью, легкостью и прочностью. Данные ткани не п...

Samsung готовит производство чипов на 1 нм техпроцессе Если верить информации западных инсайдеров, компания Samsung Foundry планирует представить свой передовой технологический процесс в 1 нанометр в следующем месяце с запуском массового производства к 2026 году. Соответственно, пока Intel и TSMC занимались своими собственными т...

Java Digest #8

Российская компания «Гравитон» начала серийный выпуск твердотельных накопителей Российский производитель вычислительной техники «Гравитон» начал серийное производство нового поколения твердотельных накопителей. SSD типоразмера M.2 2280 с интерфейсом PCIe Gen3x4 NVMe подойдут для использования в ПК, моноблоках и ноутбуках «Гравитон...

Мишустин: в России в 2023 году в разы увеличился выпуск военной продукции От техники до боеприпасов.

TSMC начнёт выпуск 3-нм продукции в США не ранее 2027 года Это заметно позже, чем планировалось изначально.

АвтоВАЗ высказался о возобновлении производства универсалов Lada Vesta SW АвтоВАЗ опроверг информацию о том, что завод приостановил производство универсалов Lada Vesta SW, о чем сообщают «Автоновости дня». Отвечая на вопрос, который автомобильное издание направило на завод, пресс-служба ответила коротко: «Это фейк». Р...

В РФ началась подготовка производства первых образцов истребителей Checkmate Проект был представлен в 2021 году, однако на основе проведенных работ в него были внесены изменения, что сдвинуло и сроки первого вылета. В результате удалось скорректировать стоимость проекта и отдельные технические решения истребителя.

На бывшем российском заводе Volkswagen могут наладить выпуск автомобилей GAC Сергей Цыганов, автоэксперт и ведущий Telegram-канала «Русский автомобиль», поделился подробностями возможного будущего российского завода Volkswagen. По его данным, на нем могут наладить сборку автомобилей GAC. Такой автомобиль GAC в следующем году может о...

В 2026 году начнётся производство суперкара Quarkus P3 Третья разработка французской компании может дойти до стадии производства

Воронежский Центр технологической компетенции аддитивных технологий приглашает на конференцию «3D КонЦентрАТ» Шестая международная конференция «3D КонЦентрАТ» состоится 1 декабря. Посетителям будут представлены более двадцати докладов от экспертов в области цифрового производства — о применении комплексного реверс-инжиниринга и аддитивных технологий в металлургической, машиностроите...

Samsung откладывает начало производства чипов в США Медлительность администрации Байдена в предоставлении финансовой поддержки мешает проектам по производству чипов на территории США

Инновационное применение 3D-печати в производстве композитных деталей В последние годы наблюдается взрывной рост использования композиционных материалов в 3D-печати, нацеленный на преодоление ограничений традиционных производственных методов, экономию времени и средств. Основанный в январе 2021 года Институт новых промышленных материалов в Дэч...

Россияне сообщают о проблемах с Telegram и YouTube. В ЦМУ ССОП это назвали «массовым сбоем» Россияне жалуются на проблемы с работой Telegram, YouTube и других сервисов, о чем сообщают различные источники. В частности, сотрудники центра мониторинга и управления сетью связи общего пользования (ЦМУ ССОП), подведомственного Роскомнадзору, зафиксировали сбой в рабо...

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

Безусловный базовый доход. Так все-таки «да» или «нет»? Прошло уже ни много, ни мало, а полвека с тех пор, как начались первые более или менее масштабные эксперименты с применением безусловного базового дохода. Интересная ситуация. Идея не умирает. Она очень популярна во многих странах. Но, при этом, она и не реализуется нигде ...

«Один автомобиль – 4 минуты». В «БелДжи» раскрыли тонкости работы первого завода полного цикла в Белоруссии Интересные подробности о работе завода «БелДжи», который выпускает автомобили Geely, опубликовало белорусское издание ctv.by. Сергей Традчик, главный технолог СЗАО «БелДжи», заявил: «Это первый завод полного цикла на территории РБ, кот...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

«Даже если завтра меня похитят инопланетяне, Tesla решит проблему беспилотного вождения». Илон Маск уверен в правильности выбранного курса Илон Маск заявил, что Tesla обязательно доработает свою систему беспилотного вождения до полностью рабочего состояния. «Если кто-то не верит, что Tesla собирается решить проблему беспилотного вождения, ему не следует быть инвестором компании», — сказал...

Российская компания Cognitive Pilot представила полностью беспилотный мини-трактор. У него даже нет кабины Компания Cognitive Pilot представила полностью беспилотный, бескабинный роботизированный мини-трактор. Он может выполнять все основные сельхозоперации с различными навесными орудиями: сев, культивацию, боронование, вспашку, опрыскивание и другие. Благодаря искусственном...

На базе российской IIoT-платформы запущен завод электрической продукции во Владимирской области На территории Владимирской области запустили модернизированный завод отечественной электрической продукции.

Nokia совершила первый в мире звонок с трехмерным эффектом Генеральный директор Nokia, Пекка Лундмарк, совершил первый в мире телефонный звонок с применением технологии «Immersive audio and video».

Тюменский стартап изготавливает специальные приборы для слабовидящих Тюменский предприниматель Евгений Жаданов организовал производство устройств «Чудо Кузнечик» — индикаторов уровня, помогающих слепым и слабовидящим людям обращаться с емкостями и жидкостями. В этом году выпущено около тысячи таких приборов с применением технологий 3D-печати.

В России начнётся производство современных печатных плат в больших объёмах В скором будущем Россия может получить свое собственное производство печатных плат до 7-го класса точности (применяются в наиболее передовой электронике), о чем сообщает «Коммерсантъ» со ссылкой на источники на рынке электроники. По данным издания, мощн...

Технология YandexGPT больше всего востребована в ИТ, ретейле и банках Платформа Yandex Cloud подвела первые итоги закрытого тестирования технологии YandexGPT. В нем приняли участие 800 компаний, больше всего - ИТ, ретейл и банки. Компании проверили возможности YandexGPT в нескольких десятках сценариев применения больших языковых моделей. Спец...

Samsung рассматривает технологию 3D-чипсетов для мобильных точек доступа Exynos Сообщается, что компания Samsung Electronics рассматривает возможность применения технологии 3D-чипсетов в своих процессорах мобильных приложений (AP) Exynos.

Компания «Лазерные системы» открыла центр аддитивных технологий Центр расположен на территории особой экономической зоны «Санкт-Петербург» и задуман как комплексная технологическая площадка с полным циклом разработки, производства и внедрения аддитивных технологий в производство. Компания планирует импортозамещать дорогостоящие комплекту...

Кейс: использование 3D-принтера Volgobot А4 PRO в ООО «ШЕВРЕТ» Volgobot продолжает активно сотрудничать с организациями и предприятиями, и сегодня мы хотим рассказать еще об одном из наших клиентов – ООО «Шеврет».Познакомимся с деятельностью предприятия ближе.ООО «Производственное объединение «Шеврет» – бывший Волгоградский кожевенный з...

Украина начала массовое производство беспилотника Backfire K1 собственной разработки 5 апреля 2024 года в социальных сетях было сделано заявление о запуске серийного производства инновационного беспилотного дрона-бомбардировщика Backfire K1, разработанного внутри страны.

Ростех начал выпуск остекления для новых поездов метро Государственная корпорация «Ростех» объявила о запуске производства остекления кабин машиниста для новых поездов метрополитена «Москва — 2024». Технология производства позволяет создавать сложные и современные дизайны.

В Белом доме возмутились критикой Израиля в адрес США за задержку поставок оружия Вашингтон указывает на единичные случаи задержек поставок в случае с бомбами, поскольку есть риск их применения в Рафахе.

В Челябинске запустили цех по изготовлению режущего инструмента с полной локализацией производства Компания "ЧКЗ-Инструмент" открыла собственное производство твердосплавного режущего инструмента в Челябинске с полной локализацией. В планах - стать лидером на рынке, где господствует Китай. Благодаря государственной поддержке и использованию передовых технологий, компания п...

Минобороны КНДР: США грозят применением оружия массового уничтожения Соединенные Штаты являются наибольшей угрозой использования оружия массового уничтожения на мировой арене

Началось массовое удаление адблоков после введения новой политики YouTube Всему виной репрессии со стороны YouTube.

Хуситы заявили о первом случае применения гиперзвуковой ракеты по кораблю Израиля Атакован был корабль MSC SARAH V, по случайному стечению обстоятельств решивший совершить вояж через Аравийское море.

В России собираются наладить серийное производство 65-нм процессоров к 2028 году Власти России утвердили план по развитию электроники и микроэлектроники до 2030 года: в нём прописано, что запуск серийного производство микросхем с топологией 65 нм должен начаться к 2028 году, о чем пишет «Коммерсантъ» со ссылкой на источники, близкие к пр...

США планируют разместить ядерное оружие на территории Великобритании Об этом сообщают западные средства массовой информации

MSI представили новые ноутбуки серии Prestige 16 AI Компания MSI, лидер в производстве ноутбуков премиум-класса, объявила о выпуске новой серии Prestige 16 AI, что знаменует собой значительный шаг в эпоху технологий искусственного интеллекта.

TSMC должна будет к 2028 году освоить в США выпуск 2-нм продукции И построить три предприятия вместо двух.

Решения для крупноформатного аддитивного производства от Kings 3D Компания Kings 3D основана в 2015 году. Сегодня это национальное высокотехнологичное предприятие, занимающееся исследованиями и конструкторскими работами, производством и применением аддитивного оборудования. Kings 3D — один из немногих китайских производителей, выпускающих ...

Производство АвтоВАЗа, которое простаивало более восьми лет, теперь работает на полную. Фото и видео демонстрируют продукцию «ВИС-Авто» в корпусе бывшего ОПП Дочка АвтоВАЗа компания «ВИС-Авто» трудится не покладая рук, о чем пишет инсайдерский паблик Avtograd News в соцсети «ВКонтакте». Компания «ВИС-Авто» окончательно обосновалась в корпусе бывшего ОПП ВАЗа. Корпус бывшего ОПП скоро обрет...

В банкоматах ВТБ можно будет снимать цифровые рубли ВТБ заявил, что первым среди российских банков разработал технологию снятия цифровых рублей через банкомат. Она была представлена на форуме инновационных финансовых технологий Finopolis-2023. Новая возможность доступна пользователям «ВТБ онлайн», участникам ...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

E-Plus 3D EP-P420: производительность и экономичность в одном 3D-принтере 3D-печать продолжает завоевывать всё больше областей в производстве, и это не удивительно. В отличие от традиционных методов изготовления, она предлагает более быстрый, эффективный и экономически выгодный способ производства. Среди множества технологий можно выделить техноло...

От ноубуков до видеокарт и геймпадов: в России запустили новый отечественный бренд OSiO с собственным производством Группа компаний ICL из Казани объявила о запуске одного из крупнейших в России заводов по производству радиоэлектронной продукции и нового бренда OSiO, под котором электроника будет продаваться в розницу.  Первыми в продаже появятся OSiO FocusLine в магазинах круп...

TSMC всё же получит от ASML передовые литографические машины стоимостью $ 380 млн TSMC в этом году получит новейшие машины для EUV-литографии ASML с высокой числовой апертурой (High-NA) стоимостью 380 миллионов долларов. ASML уже поставила Intel первую в мире коммерческую High-NA машину. Следующим получателем станет TSMC.

Lada Aura выпускают по несколько штук в неделю, есть нерешенные проблемы с комплектующими Несмотря на то, что Lada Aura уже появились в распоряжении Минфина и других ведомств, объем выпуска машин пока невелик: по данным паблика Avtograd News, в неделю АвтоВАЗ собирает всего несколько авто, и на них действительно установлены новые легкосплавные диски. По-наст...

ПАО «Симпреал» снизило цены на свою продукцию Компания «Симпреал», являющаяся одним из крупнейших отечественных производителей керамического сырья, объявила о снижении цен на свою продукцию. Цены на продукции компании удалось снизить благодаря разработке новых альтернативных сортов. В данный момент новая линейка состоит...

Костромская компания использует 3D-печать в судостроении Научно-производственное объединение «Глубокие озера» применяет аддитивные технологии в производстве речных транспортных средств. В течение года компания собирается приступить к выпуску хаусботов для путешествий по рекам.

В СПбПУ создали передовую технологию производства филамента Изображение: нейросеть DALL-E В лаборатории по полимерным композитам СПбПУ впервые в стране разработали передовую технологию выпуска филамента — это материал для выполнения 3D-печати, в основе которого лежат непрерывные углеродные волокна и термопласты.

Завтра начнётся открытый альфа-тест шутера про зомби — Nakwon: Last Paradise Разработчики из инди-студии MINTROCKET, известные всему миру по невероятно популярному приключению DAVE THE DIVER, готовы к проведению первого альфа-теста для многопользовательского экшена Nakwon: Last Paradise. Подать заявку можно будет в первый день теста — 30 ноября после...

Huawei инвестирует в собственную литографию Если вы следите за рынком высоких технологий, то знаете, что у компании Huawei имеются существенные проблемы в области полупроводниковой продукции. Причина этих проблем заключается в том, что китайскому гиганту запретили приобретать различного рода полупроводниковую продукци...

Робот в Южной Корее убил человека, приняв его за коробку В Южной Корее робот одного из сельскохозяйственных складов убил человека, вероятно, приняв его за коробку.  создано DALL-E Случай произошёл в распределительном центре сельскохозяйственной продукции в Южном Кёнсане. Робот, о котором идёт речь, занимался подъёмом ко...

Новые Subaru получат технологии Toyota. Следующий Forester станет «другим гибридным электромобилем с горизонтально-оппозитным двигателем» Subaru официально подтвердила, что производство нового Forester будет вестись в Соединенных Штатах. Модель шестого поколения будет собираться в Индиане на заводе в Лафайете. Выпуск седана Legacy на данном предприятии прекратится после 2025 модельного года. Subaru также ...

В Минобороны Сингапура заявили о применении F-35 в миссиях над территорией Украины Они выявляют расположение российских средств ПВО.

Ирак начинает массовое лицензионное производство китайского БТР Norinco VN22 6×6 Комиссия оборонной промышленности Ирака (DICI) недавно объявила о начале местного производства бронемашин Norinco VN22 6x6, чтобы укрепить обороноспособность своей страны.

Наконец-то, Solaris. Открылись первые фирменные салоны, в которых продают замаскированные Kia и Hyundai AGR Automotive Group (ООО «АГР»), которая еще месяц назад сообщила о формировании дилерской сети Solaris и приведении ее к стандартам бренда, отчиталась об открытии первых фирменных салонов. Первые дилерские центры Solaris, оформленные в соответствии с новым...

Применение технологий ИИ будет потреблять столько же электроэнергии, сколько небольшая страна Потребление электроэнергии цифровыми технологиями продолжает расти. В частности, искусственный интеллект вскоре может потреблять столько же электроэнергии, сколько небольшая страна.

На бывшем заводе Mercedes в Подмосковье скопилось сотни машин Mercedes-Benz, но они, вероятно, никогда не покинут территорию предприятия Как сообщает «Газета.ru», на территории бывшего российского завода Mercedes-Benz с подмосковном Есипово находится около 150 новых машин без номеров — восемь рядов седанов и кроссоверов. Как уточняет No Limits, это машины Mercedes-Benz, и стоят они на п...

Массовый сбой на PlayStation Network и PS Store: у геймеров отсутствует доступ к играм и сервисам Сбой начался около 17:00 по московскому времени и затронул миллионы пользователей.

Средства ПВО отразили массовую атаку украинских беспилотников по территории России Права на это Украина никакого не имеет, поэтому классификация таких ударов — террористические.

Серия Xiaomi 15 выйдет раньше ожидаемого Известный своей осведомленностью информатор Digital Chat Station поделился подробностями о линейке флагманских смартфонов Xiaomi 15. Утверждается, что новинки будут представлены одновременно с топовой платформой Qualcomm Snapdragon 8 Gen 4 и появятся в продаже уже в октябре ...

Canon представила коммерческую установку, позволяющую «печатать» 5-нанометровые чипы без фотолитографии Canon представила установку под обозначением FPA-1200NZ2C, позволяющую производить 5-нанометровые чипы. Это первое коммерческое решение такого рода, но будут и другие: Canon в будущем доработает систему так, чтобы с ее помощью можно было производить 2-нанометровые чипы....

Ультратонкие рамки iPhone 16 Pro могут стать головной болью на производстве Инсайдеры сообщили, что у Apple могут возникнуть серьёзные трудности с будущими iPhone 16 Pro и 16 Pro Max. Дело в ультратонких нижних рамках дисплея, чего нужно достичь за счёт применения технологии Border Reduction Structure (BRS), которая и сделает нижнюю рамку сверхтонко...

Мощности SK hynix по выпуску памяти HBM3 заняты на весь следующий год Этот вид продукции станет самым активно растущим для компании.

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

В России готовят первый отечественный гибридный автомобиль с большим запасом хода В России построят первый отечественный гибридный автомобиль на базе УАЗ «Профи», о чем сообщил гендиректор и совладелец компании «Электромобили мануфэкчуринг рус» («ЭМ-рус») Илья Рашкин. Уже в этом году планируется завершить разработк...

TDK добилась 100-кратного увеличения плотности энергии твердотельных аккумуляторов TDK удалось разработать материал для твердотельных аккумуляторов с плотностью энергии в 100 раз большей, чем у обычных твердотельных аккумуляторов TDK массового производства. Речь о новой технологии твердотельных аккумуляторов, которая будет обеспечивать плотность энерг...

АвтоВАЗ повысил качество Lada Vesta и Granta, модернизировал производство, а также подготовился к выпуску Lada Iskra Пресс-служба АвтоВАЗа сообщила, что завод с 28 апреля по 12 мая текущего года провел работы по модернизации производственной линии, подготовке к выпуску новых моделей и увеличению производственных мощностей. Для обеспечения высокого уровня качества автомобилей Lada Vest...

12,9-дюймовый iPad Air появится в начале 2024 года, а OLED-модели iPad Pro с чипами M3 выйдут во втором квартале. Прогноз Минг-Чи Куо В своем последнем прогнозе Минг-Чи Куо предсказывает, что Apple компенсирует отсутствие изменений в линейке iPad в этом году, обновив все существующие модели, начиная с обновленного 10,9-дюймового и нового 12,9-дюймового iPad Air с новыми чипами, запланированными к масс...

Яндекс продаёт свою долю в производстве серверов OpenYard Яндекс и группа компаний «Ланит» выходят из совместного предприятия по производству серверов под торговой маркой OpenYard и продают свои доли группе частных инвесторов. Об этом сообщила пресс-служба Яндекса.  Предприятие сохранит за собой производство ...

MWM: ВС РФ обязаны последним победам массовым применением планирующих авиабомб В 200-метровом радиусе от эпицентра попадания трудно найти целую конструкцию, даже выполненную из железобетона

Революция на рынке наушников? Представлен ультразвуковой излучатель xMEMS Cypress, который, как обещают, во многом лучше динамических Компания xMEMS Labs представила излучатель для наушников, который отличается от привычных динамических либо излучателей с уравновешенным якорем, которые в народе называют арматурами. Новая разработка представляет собой ультразвуковой твердотельный динамик Cypress, предн...

Испытания первого российского литографа уже начались Заместитель министра промышленности и торговли РФ Василий Шпак сообщил, что первый российский литограф уже создан и проходит испытания. О планах по выпуску отечественного литографа Шпак упоминал ещё в конце прошлого года. Пока оборудование такого уровня сложности собира...

Авиастроительный завод «Авиастар» использует 3D-печать в производстве оснастки Компания «Авиастар», филиал ПАО «Авиационный комплекс имени С. В. Ильюшина» Объединенной авиастроительной корпорации, применяет в производстве авиационных деталей средства технологического оснащения нового поколения. Модульные приспособления для установки и крепления заготов...

Альтернативные технологии производства печатных плат Картинка WangXiNa, Freepik Сегодня мы продолжим препарировать альтернативные технологии производства печатных плат, так как любая эволюция, будь то человеческого общества или технических процессов это определённый путь, со множеством видоизменений, в ходе которого рассмотр...

Total Z: секреты успешного развития производства 3D принтеров в России! Интервью 3Dtool Всем привет, Друзья! С Вами компания 3DTool!В этом видео мы находимся в гостях у производителя промышленных 3D принтеров из России - компании TOTAL Z. Мало кто знает, что огромное производство началось с проверки гипотезы, в небольшой гаражной мастерской. В интервью, Генерал...

"Аквариус" и Nemifist ускорят выпуск игровых компьютеров в России Российские компании "Аквариус" и Nemifist начнут совместное производство игровых компьютеров, используя передовые технологии и увеличивая объемы производства, что отвечает растущему спросу на мощные игровые системы в России.

Apple снижает заказы процессоров из-за низкого спроса Согласно последнему отчёту известного аналитика мобильного рынка Минг-Чи Куо, Apple снижает заказы на производство чипов по технологическому процессу в 3 нанометра примерно на треть. Более того, компания Qualcomm также уменьшает объёмы производства и в конечном итоге объёмы ...

TSMC обеспечена заказами на 3-нм продукцию до 2026 года Объёмы производства растут, но и клиентов становится больше.

Кроссоверы Jetour начнут выпускать в Калининграде. Первая модель — Jetour Dashing Drom.ru раскрыл подробности запуска производства кроссоверов Jetour в России. По словам заместителя генерального директора «Джетур Мотор Рус» Михаила Роткина, выпуском автомобилей китайского бренда займется калининградский «Автотор» — он же...

TSMC обеспечена заказами на выпуск 3-нм продукции до конца 2025 года В следующем году она так и не сможет победить дефицит мощностей по упаковке чипов.

TSMC торжественно откроет предприятие в Японии уже 24 февраля Но выпуск продукции будет налажен только в четвёртом квартале.

Intel установила первый литографический сканер ASML, который будет использован при выпуске чипов по технологии Intel 14A Его ещё предстоит настроить и ввести в эксплуатацию.

Лукашенко отменил НДС при ввозе не имеющего аналогов в стране оборудования Президент Белоруссии Александр Лукашенко подписал указ об отмене налога на добавленную стоимость (НДС) при ввозе уникального оборудования, которое не имеет аналогов в стране. Целью указала является поддержка и стимулирование создания новых производственных линий. Информ...

Началось производство обновлённого Volkswagen Golf — спустя 50 лет после выхода оригинальной машины. Названы лучшие модели VW Volkswagen отчиталась о начале производства рестайлинговой версии Volkswagen Golf восьмого поколения. Первой машиной стал синий хетчбэк в топовой комплектации Style в цвете Anemone Blue Metallic. Новую модель можно узнать прежде всего по измененной передней части. Он по...

Welt: Линия обороны ВСУ с вероятностью 99% обрушится грядущим летом Массовое применение тяжелых КАБов и тактика "тысячи порезов" ставит перед украинским командованием трудный выбор и опасный вызов

Samsung хочет опередить Intel и первой выпустить частично стеклянные процессоры. Компания начала разработку стеклянных подложек для своих продуктов Компания Samsung решила ввязаться в гонку с Intel и тоже перейти на использование стеклянных подложек в своих чипах.   Как сообщается, дочерней компании Samsung Electro-Mechanics было поручено начать научно-исследовательские разработки в этом направлении. При этом...

Китай собирается массово выпускать человекоподобных роботов к 2025 году Китай планирует наладить массовый выпуск человекоподобных роботов уже к 2025 году, а в 2027 году выйти на передовой международный уровень в этой отрасли. Об этом сообщается в документе под названием «Руководящее заключение по инновациям и разработке человекоподобн...

Запустилось производство смартфона «Р-фон» на операционной системе «Роса Летом текущего года началась подготовка оборудования поверхностного монтажа, тестового оборудования и адаптированной к сборке телефона конвейерной линии, а в сентябре стартовало изготовление первых печатных плат. .

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Граждан Польши напугали массовой мобилизацией – хакеры взломали информагенство Мобилизованных якобы должны были отправить на территорию Украины, в зону СВО.

ИИ поможет в производстве стволовых клеток для регенеративной медицины Исследователи из Северо-Восточного университета продемонстрировали, как искусственный интеллект (ИИ) может использоваться для массового производства плюрипотентных стволовых клеток, что может быть использовано в лечении рака, болезни Альцгеймера или Паркинсона, а также в рег...

Завод «Микрон» запустил линию по сборке микросхем в пластиковых корпусах Завод «Микрон», российский производитель микроэлектроники, запустил две производственных линии: новую линию по сборке микросхем в пластиковых корпусах и дополнительную линию сборки чип-модулей. Микросхемы в пластиковом корпусе – это новая для завода пр...

CGTN: Как Китай работает над построением глобального сообщества совместного будущего От Фиджи и Лесото до Руанды китайская технология выращивания съедобных грибов на основе компоста из травы цзюньцао (Juncao) вместо древесины помогла решить важную проблему, ведь раньше производство грибной продукции было связано с вырубкой деревьев. В Лесото фермеры прозвали...

В России начали серийно производить премиальный ноутбук «Гравитон» Н17И-Т 29 сентября российская компания Гравитон объявила о начале серийного производства собственного ноутбука «Гравитон» Н17И-Т. По их заявлениям, модель не только полностью разработана и произведена на территории России, но и способна полноценно заменить настольный ПК. «Гравитон...

В России запустят контрактное производство квантовых процессоров В пресс-службе Министерства науки и высшего образования Российской Федерации сообщили, что МГТУ им. Н. Э. Баумана и ВНИИА им. Н. Л. Духова готовятся к запуску первого в России контрактного производства сверхпроводниковых квантовых процессоров. Это событие станет важным шагом...

В РФ в этом году начнётся производство малозаметной для радаров ПВО планирующей бомбы "Дрель" Бомба уже испытана и ждёт только "отмашки" для своего серийного производства

В Москве запустили производство автопилотов для сельхозтехники Группа компаний «Итэлма» начала массовое производство надежных автопилотов для сельскохозяйственных машин на своем заводе в Москве. Первые автопилоты уже будут установлены на сельхозтехнику и готовы к использованию уже в текущем 2024 году.

Samsung построит в США завод за 44 миллиардов долларов Сегодня появилась новая информация о том, что компания Samsung в ближайшее время получит субсидию в размере 6,6 миллиарда долларов для расширения своего завода по производству чипов в Техасе. Этот шаг необходим производителю для расширения своих производственных мощностей, п...

NVIDIA прекратила производство видеокарт GTX 16 Сегодня появилась информация о том, что компания NVIDIA официально завершила производство своих графических процессоров серии GeForce GTX 16, последних из линейки GTX для массового рынка. Собственно, ещё в декабре прошлого года появилась информация от инсайдеров о том, что N...

Твердотельные аккумуляторы Toyota появятся в десятках тысяч автомобилей компании к 2030 году Твердотельные аккумуляторы Toyota будут ограничены «десятками тысяч» автомобилей в 2030 году и в последующий период Недавно Toyota объединила усилия с Idemitsu Kosan для разработки и производства твердотельных аккумуляторов для будущих электромобилей, однако...

Исследование выявило лидеров сектора жестяной продукции Свежее исследование сектора жестяной продукции показало лидеров и аутсайдеров рынка, объем которого в 2022 году составил почти 22 млрд рублей или 3,1 млрд банок, сообщает Правда.ру. Казалось бы, узко-специализированное направление, но на самом деле, продукция российских...

Металл не только для старинных бамперов, но и для электроники: краткая история хрома Мы продолжаем рассказывать о различных металлах, истории их открытия, применении и патентом аспекте. На этот раз речь пойдет о хроме. Объем добычи и потребления хрома в мире напрямую зависит от уровня выпуска черных металлов, в первую очередь коррозионностойких и нержавеющих...

Началось производство документального сериала о романе «Анна Каренина» Производством сериала занимается «Студия Видеопрокат» Сергея Бондарчука и Алексея Киселева при поддержке Института развития интернета и участии агентства экранизаций «Снимайте это немедленно!».

SpaceX планирует выпускать по одной ракете Starship в день со своего нового завода Starfactory На прошлой неделе SpaceX провела четвёртое успешное испытание своей ракеты Starship, самой мощной в мире. Испытание достигло поставленных целей: первая ступень Starship, Super Heavy, совершила мягкую посадку в Мексиканском заливе, а верхняя ступень "Корабль&qu...

Россия готовится запустить в серийное производство ракету "Буревестник" с ядерным двигателем После успешных испытаний Россия готовится к массовому производству межконтинентальной ракеты "Буревестник" с ядерной энергетической установкой, обладающей практически неограниченной дальностью полета.

В ночь с 4 на 5 января дроны-камикадзе поразили множество военных объектов ВСУ Об ударах по территории Украины сообщают местные средства массовой информации

Студенты ПГУ разрабатывают VR-экзоскелет кисти Студенческая команда Пензенского государственного университете работает над VR-экзоскелетом кисти. Изготовленный с применением технологий 3D-печати прототип обеспечивает обратную тактильной связь для полноценного погружения в виртуальную реальность. Разработчики надеются, чт...

Первый мозговой чип Neuralink успешно установлен живому человеку Основатель компании Neuralink Илон Маск сообщил о первом удачном применении технологии «мозг-машина» на живом человеке. По его словам, операция по внедрению мозгового импланта прошла успешно, сейчас пациент проходит процедуру реабилитации. Это все еще экспериментальная техно...

Эпоха 2-нм чипов: ASML отгружает EUV-литографы третьего поколения. Что это значит для индустрии Источник: st.overclockers.ru Нидерландская компания ASML продолжает развиваться, разрабатывая новые технологии для производства современных чипов. В конце 2023 года стало известно, что компания разработала литограф, способный работать с 2-нм техпроцессом. И сейчас началась...

«Эталон российских настольных систем», — началось серийное производство ноутбуков «Гравитон» Н17И-Т Российская компания «Гравитон» сообщила о начале серийного производства ноутбука Н17И-Т, который производитель называет «премиальной моделью в металлическом корпусе, способной стать полноценной альтернативой настольным ПК». Также производитель ок...

РЖД и «Аэрофлот» договорились о сотрудничестве с частной космической компанией «Бюро 1440» В ходе конференции ЦИПР-2024 в Нижнем Новгороде российская частная космическая компания «Бюро 1440» подписала соглашения о сотрудничестве в области применения спутниковых технологий с РЖД и «Аэрофлотом». Компании займутся обеспечением пассажиров ...

В мае Sony начнёт выпуск лазеров, которые позволят увеличить ёмкость жёстких дисков до 30 Тбайт Использовать их в своей продукции будет компания Seagate Technology.

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Илон Маск поперхнулся: Xiaomi представила свой первый электромобиль Пока многие из нас потешались над китайскими производителями техники, за последнее время они сделали большой рывок, и яркое тому свидетельство — компания Xiaomi. Представив в 2010 году оболочку MIUI, уже в 2021-м она стала мировым лидером по объему выпуска смартфонов и знач...

Немецкий концерн Rheinmetall и Литва договариваются о производстве 155-мм артиллерийских снарядов Литва намерена подписать соглашения с компанией Rheinmetall, считающейся одним из крупнейших производителей военной техники и вооружения в Германии и Европе, о создании на ее территории завода по производству 155-мм артиллерийских боеприпасов.

Японская компания Pale Blue получила финансирование в размере $7,5 млн на массовое производство тяговых систем для малых спутников Японская компания Pale Blue привлекла $7,5 миллионов на создание массового производства систем тяги на основе водяного пара для малых спутников. Финансирование состоит из кредитов и недавно завершённого раунда B, поддержанного существующими инвесторами компании — ...

Производство «автомобиля для чиновников» Lada Aura начнётся в сентябре 2024 года Вице-президент по внешним связям и взаимодействию с акционерами компании «АвтоВАЗ» Сергей Громак в ходе круглого стола в Совете Федерации рассказал, когда начнётся производство автомобиля Lada Aura. Напомним, Lada Aura — это модель представительского к...

Samsung представила рекордно ёмкую память HBM3E 12H объёмом 36 ГБ на стек с пропускной способностью 1,28 ТБ/с Компания Samsung объявила о том, что разрабатывает первую в отрасли микросхему памяти HBM3E 12H объёмом 36 ГБ.  Так как это память HBM, корректнее говорить, что это не микросхема, а стек. В данном случае состоящий из 12 слоёв, что в итоге даёт самую большую в отра...

Процессор Intel Lunar Lake будет доступен в конце 2024 года, но в ограниченном количестве Процессор Intel Lunar Lake будет доступен в конце 2024 года в ограниченном количестве, а его массовый выпуск запланирован на 2025 год

Цена нового ИИ-сервера Nvidia GB200 будет в 10 раз выше цены традиционного сервера. Поставки начнутся уже в конце года По данным источников в цепочке поставок, ИИ-серверы Nvidia GB200 Blackwell в настоящее время находятся на стадии массового производства, и ожидается, что первые партии будут отправлены в следующем квартале.  фото: Reuters Ожидается, что AI-серверы DGX GB200 принес...

Названы смартфоны Poco, которые первыми получат новейшую HyperOS В день премьеры Xiaomi 14 и Xiaomi 14 Pro компания рассказала, какие смартфоны Xiaomi и Redmi первыми получат новейшую HyperOS, а сейчас ресурс XiaomiUI назвал первые модели Poco, для которых состоится релиз «заменителя MIUI». Таких моделей две – Poco...

Nissan рассказала о грандиозных планах: твердотельные аккумуляторы, новые завод и экспорт машин Dongfeng Nissan на мировые рынка Совместное предприятие Dongfeng Nissan официально объявило о результатах продаж за декабрь 2023 года: совокупный объем продаж составил 95 204 единицы, что на 42,8% больше, чем в прошлом году. При этом на праздники было получено 12 006 заказов. Nissan параллельно разраба...

Samsung представила карту microSD со скоростью передачи данных до 800 МБ/с. Это быстрее любого SSD с SATA Компания Samsung Electronics представила первую в отрасли карту памяти microSD с поддержкой интерфейса SD Express. Скорость передачи данных у такой карты достигает впечатляющих 800 МБ/с.  Это больше, чем может обеспечить любой SSD с интерфейсом SATA и вчетверо быс...

Представлен первый в России безэкипажный катер (морской дрон). Производство уже началось Производство первых в России безэкипажных катеров или морских дронов уже началось, о чем сообщил в интервью РИА Новости управляющий директор холдинга KMZ (Кингисеппский машиностроительный завод) Михаил Даниленко. «Мы уже приступили к их производству. На нашей прои...

Проект РЭК@МАИ улучшит и ускорит проектирование и производство самолетов Ученые Московского авиационного института запустили комплекс работ, посвященный широкому применению расчетно-экспериментальной корреляции (РЭК) в развивающихся материальных технологиях аэрокосмической отрасли. Проект РЭК@МАИ позволит быстрее конструировать и строить качестве...

Samsung и SK hynix прекращают выпуск DDR3 в угоду всё более популярной HBM3. Что будет дальше? На днях стало известно, что два крупнейших производителя оперативной памяти — компании Samsung и SK hynix — решили остановить линии, специализировавшиеся на выпуске DDR3. Вместо этого освободившиеся мощности планируется использовать для расширения объёмов выпуска ИИ-оптимизи...

Теперь не только в новых iPad Pro. LG начала массовое производство первых на рынке панелей Tandem OLED для ноутбуков Компания LG начала массовое производство панелей Tandem OLED для ноутбуков.  Это такие же двуслойные панели, как у новейших iPad Pro, но теперь для мобильных ПК. И первыми такие экраны получат ноутбуки Dell.  Изначально это будут только 13-дюймовые экраны. LG...

В России запустили производство лазерных станков для печатных плат Московская компания начала выпуск лазеров для производства печатных плат и полупроводников

Смартфон Nokia XR21 Limited Edition выпущен ограниченной партией Как было объявлено ранее в феврале, компания HMD Global начала производство смартфонов на территории Европы, и Nokia XR21 Limited Edition — это первое устройство, выпущенное непосредственно с новой производственной линии.

620 л.с., Евро-6, впрыск мочевины и давление впрыска 2200 бар. Подробности о ЯМЗ-770 – новом «тяжелом» российском дизеле Премьера дизельного мотора ЯМЗ-770 состоялась на прошлогодней выставке «Комтранс», а сейчас «Техносфера» приводит новые подробности об этом силовом агрегате. ЯМЗ-770 – это рядный 6-цилиндровый мотор объемом 12,4 л, степень сжатия в котором...

Хватит всем желающим: массовая сборка универсалов Lada Granta Cross в 6 комплектациях стартует 10 июня Массовая сборка универсалов Lada Granta возобновится на АвтоВАЗе с 10 июня, согласно производственному плану на июнь, о чем сообщает инсайдерский паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте». Такие автомобили продолжат выпускаться только в к...

Визит Тима Кука во Вьетнам связан с расширением локального производства продукции Apple Не Китаем единым жива Apple.

Wildberries запустит «умную выдачу» заказов в Сколково Онлайн-ретейлер Wildberries изучает применение новой технологии для ускорения выдачи заказов, планируя запустить свой первый «пункт выдачи заказов будущего» в инновационном центре Сколково, сообщила гендиректор компании Татьяна Бакальчук.

В Санкт-Петербурге нейросеть начала выписывать штрафы за ямы на дорогах В Санкт-Петербурге владельцы территорий начали получать штрафы за ямы на дорогах, сформированные нейросетью. Государственная административно-техническая инспекция (ГАТИ) города объявила, что с 15 апреля возобновила мониторинг территорий в Санкт-Петербурге с помощью нейр...

Сборку российских двигателей для Haval показали на фото и видео. Новые детали Фотографии и видеоролик, которые демонстрируют сборку российских двигателей для автомобилей Haval в Тульской области, опубликовал главный редактор журнала «За рулем» Максим Кадаков. Строительство завода двигателей началось в ноябре 2020 года. Китайцы обещали...

Прототипирование и проверка дизайна детских товаров с помощью 3D-принтеров от Intamsys Японская корпорация Combi — одна из крупнейших и старейших компаний в области разработки и производства товаров для детей и родителей, работающая с 1957 года. Сегодня компания продает продукцию высочайшего качества почти в ста странах. Корпорация Combi владеет и управляет пр...

От телефонов до электромобилей: как себя проявит рост стоимости цветных и драгметаллов Цены на драгоценные металлы, которые используются в производстве электроники, значительно выросли за последние пять лет. Например, стоимость меди за этот период увеличилась на 66%, подскочив от $5 000 за тонну в 2020 году до $8 300 в 2024. В результате этих изменен...

Reuters: Блинкен заявил, что Вашингтон одобрил применение американского оружия по территории России Вашингтон продолжит подстраиваться под необходимости Киева в вопросе использования американского оружия для ударов вглубь РФ.

Ждём Cyberpunk 2077 на смартфонах? Qualcomm и Samsung собираются использовать технологию апскейла AMD FSR Технология суперсемплинга AMD FSR, как известно, является открытой и поддерживается условно любым GPU. Как сообщается, FSR в обозримом будущем может появиться и на смартфонах.  Инсайдер Revegnus говорит, что Samsung и Qualcomm решили сотрудничать с AMD для реализа...

F2 innovations (часть 2) - Обзор продукции F2 innovations - российская компания, создатель новых технологий и инновационных решений в области оборудования для 3D печати. В этой статье мы познакомим вас с ассортиментом F2 innovations и расскажем о преимуществах 3D принтеров производителя.F2 innovations - российская ко...

В России освоили и ввели в производство критическую технологию для газовых турбин Александр Конюхов, генеральный директор АО «Силовые машины»: «Открытие производства литых лопаток газовых турбин — это знаковое событие для «Силовых машин» и всей отрасли. Новое производство основано на принципе 100% импортозамещения: наши специалисты разработали и внедрили ...

Появились первые результаты тестов видиокарт в 3DMark Steel Nomad Кроссплатформенный тест без трассировки лучей 3DMark Steel Nomad будет выпущен завтра и заменит Time Spy

В российских свечах Meteor (бывшие Bosch) появятся отечественные платина, иридий, золото и серебро. Также в России локализуют производство стеклогерметиков «Красцветмет» по заказу Meteor Auto (ей принадлежит бывший завод Bosch в Энгельсе) разработает технологии производства проволоки из сплавов драгоценных металлов (платина, иридий, золото и серебро) для изготовления отечественных свечей зажигания — сейча...

На территории РФ начались продажи смартфона-флагмана Honor Magic 6 Pro Заказавшим по предзаказу положена скидка в 20 тыс. рублей и умные часы Honor Watch 4

InnoGrit начала массовое производство контроллера YRS820 PCIe 5.0 на архитектуре RISC-V InnoGrit начала массовое производство контроллера YRS820 PCIe 5.0, который работает на архитектуре RISC-V

КамАЗ упростил производство деталей кабины K5: российские лонжероны не уступают немецким от Daimler Truck AG КамАЗ в рамках стратегии импортозамещения решил оптимизировать и улучшить процесс производства лонжеронов кабины флагманского семейства K5. Ранее до 2023 года эти компоненты поставлялись готовыми от Daimler Truck AG и производились немцами методом горячей листовой штамп...

Для SK hynix при выпуске HBM3E важен уровень выхода годной продукции У производителей памяти HBM и туалетной бумаги есть что-то общее – тяга к увеличению количества слоёв.

Yatri P1 Gen 2 – первый в мире электромотоцикл с беспроводными Apple CarPlay и Android Auto Непальский производитель электромобилей Yatri Motorcycles анонсировал выпуск своей новой модели P1 Gen 2, которая станет первым в мире двухколесным транспортным средством с интеграцией беспроводных технологий Apple CarPlay и Android Auto. Это позволит владельцам легко подклю...

Для Tesla производство Cybertruck не станет прибыльным как минимум до 2025 года В большей степени модель нужна для привлечения клиентов к другой продукции марки.

Samsung Electronics запустила опытное производство чипов по 3-нм технологии второго поколения Первое не позволило привлечь большого количества клиентов.

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Медведев: Запад может просчитаться в вопросе применения Россией ТЯО Зампред Совбеза РФ Медведев предостерёг страны НАТО, разрешившие Киеву применять западное оружие по территории России.

King Price — новый «российский» бренд SSD, блоков питания, корпусов для ПК и других комплектующих. Он принадлежит «Мерлиону» В условиях ухода многих западных брендов электроники с российского рынка, отечественные дистрибуторы ищут новые возможности для развития бизнеса. Одним из перспективных направлений становится выпуск компьютерных компонентов под собственными торговыми марками (СТМ). Так,...

Президент АвтоВАЗа лично проконтролировал производство 122-сильного мотора 1.8 EVO для автомобилей Lada Президент АвтоВАЗ Максим Соколов и исполнительный вице-президент по производству Михаил Рябов посетили производство новых двигателей. В ходе визита профильные руководители и специалисты продемонстрировали сборку и рассказали о перспективах развития двигателей 1.8 EVO, к...

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

Oppo Reno 12/12 Pro, Oppo Pad 3/OnePlus Pad 2 и Enco X3 уже запущены в производство В ноябре 2023 года Oppo представила в Китае серию смартфонов Reno 11. Недавние сообщения показали, что в В настоящее время Oppo работает над серией смартфонов Reno 12 для китайского рынка. Кроме того, бренд разрабатывает планшет Oppo Pad 3 и наушники Enco X3 TWS. Инсайд...

Возможно, GeForce RTX 4060/RTX 4060 Ti и невыгодны, но их всё равно массово покупают. В Южной Корее они почти вчетверо обошли RTX 3060/3060 Ti Видеокарты GeForce RTX 4060 и RTX 4060 Ti относительно своих предшественниц оказались далеко не самым привлекательным предложением. Однако это в любом случае самые доступные карты Nvidia нового поколения, то есть их в любом случае покупают. И статистика показывает, что ...

Chery может начать масштабное производство в Италии: речь о сотнях тысяч машин Итальянское правительство ведет переговоры с китайским автопроизводителем Chery Auto о возможности организации производства на территории страны. Целью является увеличение национального выпуска автомобилей до 1,3 млн единиц в год с текущих 800 тысяч. Министр промышленно...

Глава МИД Дании считает, что применение ВСУ западного оружия по территории РФ является «самообороной» Уровень юридической подготовки западных функционеров — поразительный.

«О сборке Tiggo 9 речь однозначно не идёт и не шла», — в «Автодоме» не подтвердили планы по выпуску машин Chery на заводе Mercedes Компания «Автодом», которая с апреля этого года владеет активами Mercedes-Benz в России, не подтвердила опубликованную сегодня информацию о подготовке производства автомобилей Chery на заводе в подмосковном Есипово. «О сборке указанных моделей Tiggo 9 ...

Lada Vesta NG вернёт себе ESP через шесть месяцев. Как сообщает телеканал «Авто Плюс» со ссылкой на представителей АвтоВАЗа, Lada Vesta NG вернёт себе ESP через шесть месяцев. Мы неоднократно рассказывали, что группа компаний «ИТЭЛМА» недавно наладила производство российской антиблокировочной сис...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Китай скупает оборудование для выпуска чипов — Европа, США, Япония и Тайвань вместе тратят меньше Участники рынка сократили расходы на закупку оборудования для производства полупроводниковой продукции на 11 % по сравнению с аналогичным периодом прошлого года, до $25,6 млрд, о чем сообщила компания SEMI в своем отчете о мировой статистике рынка полупроводникового обо...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

У Toyota появился новый кроссовер: компания запустила в производство Toyota C-HR второго поколения Toyota сообщила о начале производства кроссовера C-HR второго поколения. Выпускать автомобиль будут на предприятии Toyota в турецкой Сакарье – это первый европейский центр Toyota по производству гибридных автомобилей. Производственная линия рассчитана на выпуск 75...

XCMG Excavator представила новую серию G для мирового рынка на 6-м Фестивале клиентов   XCMG Excavator, специализированное подразделение компании XCMG Machinery по производству экскаваторов, представило свои новые экскаваторы серии G на шестом Международном фестивале заказчиков, проходившем на ее производственной базе экскаваторного оборудования. Меропри...

Автомобили КамАЗ получат российскую электронику Автомобили КамАЗ моделей К3 и К5 получат отечественные электронные блоки от компании «Итэлма». По заявлению разработчика электроники, новая линейка блоков «Итэлма» соответствует требованиям КамАЗа и может конкурировать с зарубежными аналогами. В ...

Россия стала первой страной по массовому внедрению оплаты по биометрии Герман Греф, председатель правления Сбера, презентовал в документальном фильме, выпущенном к Годовому общему собранию акционеров, перспективы биометрических технологий для платежей по всей России. Он подчеркнул, что Россия стала первой страной, где массово внедрены биометрич...

Lada X-Cross 5 всё же выйдет: когда и кто её сможет купить, сообщил президент АвтоВАЗа Президент АвтоВАЗа Максим Соколов подтвердил, что предыдущие слухи об отмене проекта Lada X-Cross 5 не имеют под собой основания. Несмотря на то, что производство Lada X-Cross 5 на площадке «Лада Санкт-Петербург» (бывший завод Nissan) началось ещё в июне, он...

Долю экспорта в структуре своих доходов увеличит производитель жестяной продукции ООО «Банкон» Жестяная продукция сегодня вполне может быть индикатором состояния рынка. Вопрос импортозамещения в области производства жестяных банок не стоит – все потребности производителей консервов закрыты российскими предприятиями. На российском рынке жестяных консервных банок объем ...

Центр аддитивных технологий Ростеха получил лицензию на обучение аддитивному производству Центр аддитивных технологий (ЦАТ) Ростеха стал первым предприятием в контуре государственной корпорации «Ростех», получившим лицензию на обучение по программе «Аддитивные технологии». Программы повышения квалификации затронут все аспекты производства методом 3D-печати — от к...

Оптимален ли блокчейн для хранения идентификационных данных? Приветствую, Хабр! Моя предыдущая статья была посвящена формализованным критериям выбора базовой технологии хранения и обработки данных, совокупность которых позволяла ответить на вопрос, использовать ли в конкретной системе блокчейн-технологии или ограничиться хорошо изучен...

Lada Niva Travel Black в цвете «Несси» с мультимедиа показали со всех сторон. Дилер предлагает такие машины дешевле 1,5 млн рублей Новые внедорожники Lada Niva Travel Black в цвете «Несси» с мультимедийно системой уже начали отгружать дилерам, которые установили цену на отметке 1 466 000 рублей. Ранее сообщалось, что АвтоВАЗ начнет массовое производство внедорожников Lada Niva Travel с ...

Rheinmetall передаст Украине экспериментальные артиллерийские снаряды с дальностью пуска в 100 км Чехия уже "передает" 1 млн снарядов для нужд ВСУ на протяжении двух месяцев, хотя поставки должны были начаться еще 8 недель назад. Так будет и с продукцией немцев

На бывшем российском заводе Toyota будут выпускать... беспилотники Планы размещения производства беспилотных летательных аппаратов в Особой Экономической Зоне (ОЭЗ) «Санкт-Петербург» в Шушарах подтвердил председатель комитета по экономической политике и стратегическому планированию Санкт-Петербурга, Алексей Зырянов. Напомни...

ИИ подвергает сомнению теорию о вымирании динозавров от астероида Новое исследование, проведенное с применением искусственного интеллекта, предполагает, что динозавры могли вымереть не из-за астероида, как долгое время считалось, а из-за массовых вулканических извержений и выбросов газов

ASML и TSMC могут дистанционно отключить производство чипов при необходимости Похоже, что такой способ был специально придуман на случай перехода производства под контроль Китая.

Полупроводниковая монополия: как одна голландская компания держит под контролем мировую индустрию микросхем Сегодня основой экономики являются микрочипы. Почти во всех электронных устройствах присутствует по крайней мере один полупроводниковый чип: от автомобилей и мобильных телефонов до стиральных машин и самолётов. Большая часть мирового ВВП производится устройствами, использую...

В Хабаровском крае построят завод по выпуску полиэтиленовых труб Vostok.Today: планируется, что он закроет потребности в такой продукции при реализации проектов в области газификации и в жилищно-коммунальной сфере.

Эковата спб эковата спб — это экологически чистый утеплитель, который изготавливается из вторично переработанной бумаги с добавлением бора. Этот материал обладает отличными теплоизоляционными свойствами, не горит, не подвержен гниению и образованию плесени. В Санкт-Петербурге производс...

Китайские компании предоставят оборудование и технологии для производства каменной ваты в России На Российско-китайском форуме межрегионального сотрудничества было подписано соглашение о строительстве завода по производству каменной ваты в Оренбургской области с использованием китайских технологий и оборудования. Завод планируется разместить в городе Новотроицке.

Nvidia создаст новое подразделение для производства чипов Продукция будет использоваться в облачных вычислениях, игровой и автомобильной индустриях, а также в разработке ИИ

Nvidia может заключить контракт с Intel Foundry Services для расширения производства Компания прогнозирует, что спрос на её продукцию продолжит расти напротяжении всего 2024 года

После двух пиковых лет спрогнозирован спад производства лесной промышленности Финляндии Газета Kauppalehti: спрос на продукцию лесной промышленности в Финляндии "явно снизится" в следующем году после двух пиковых лет.

В калининградском ОКБ «Факел» построили цех серийного выпуска двигателей для спутников проекта «Сфера» Генеральный директор госкорпорации «Роскосмос» Юрий Борисов посетил ОКБ «Факел» в Калининграде. Там завершили ремонт и техническое перевооружение цеха по производству двигателей для спутников проекта «Сфера». Федеральный проект «...

Представлен LCD-монитор Asus ROG Strix XG27UCG Компания Asus анонсировала выпуск первого LCD-монитора ROG Strix XG27UCG с технологией двойного режима.

Apple откладывает выпуск новых Apple Watch Ultra из-за сложностей с поставками Apple объявила о задержке выпуска Apple Watch Ultra с микроLED-дисплеем из-за высоких затрат на производство и сложностей в цепочке поставок

Флагманский Meizu 21 с очень тонкими рамками поступит в продажу уже завтра Долгожданный смартфон Meizu 21 уже завтра поступит в магазины Китая по цене в $564 (3999 юаней). Смартфон может похвастаться 6,55-дюймовым дисплеем с рамками всего в 1,74 мм. Компания хвалиться, что их смартфон первый в мире с такими рамками.

Путин поручил организовать производство игровых приставок в России Президент Российской Федерации Владимир Путин дал указание правительству рассмотреть возможность организации производства игровых приставок и консолей на территории страны. По данным, полученным с сайта Кремля, срок исполнения поручения назначен на 15 июня 2024 года.

В 2023 году Fort Telecom увеличил производство уличных коммутаторов на 40% Для удовлетворения растущего спроса компания сфокусировалась на выпуске моделей для крупных инфраструктурных проектов, а также вывела на рынок первый сертифицированный взрывозащищенный коммутатор.

В России запущен бренд полуприцепов Wagnermaier, открыто СП с европейским производителем. Локализация достигнет 75% Дефицит полуприцепов на российском рынке решается довольно быстро запуском новых производств. На территории ОЭЗ «Алабуга» в Татарстане открылось производство магистральных полуприцепов бренда Wagnermaier, на котором ежегодно будут производить 3 000 един...

В Сеченовском университете создали специальный инструмент для операций на щитовидной железе Специалисты Первого Московского государственного медицинского университета имени И. М. Сеченова разработали с применением технологий 3D-печати хирургический инструмент для создания тоннелей под кожей, позволяющий вдвое сокращать длительность операций на щитовидной железе.

Глубже в третье измерение: вебинар Picaso и 3DVision 3 октября в 14:00 приглашаем вас на бесплатный вебинар от Picaso и 3DVision.На этом вебинаре вы узнаете о принтерах Picaso: их главных особенностях и преимуществах, а также о их применении в аддитивном производстве.Узнаете больше о сотрудничестве Picaso и 3DVision, являющег...

Производитель микроэлектроники в Москве увеличил выпуск приборов на 65% Столичный производитель микроэлектроники «Милур ИС» на 65% увеличил выпуск счетчиков электроэнергии благодаря участию в национальном проекте «Производительность труда». Об этом сообщили в столичном Департаменте экономической политики и развития. ...

«Роторный двигатель очень нравится клиентам по всему миру», — Mazda сообщила о выпуске 2-миллионого роторного двигателя Mazda работает с этой технологией с 1961 года, когда она вступила в техническое партнерство с NSU и Wankel. К 1967 году компания выпустила Mazda Cosmo Sport. К 1973 году бренд выпустил 500 000 роторных двигателей. Однако только в 1978 году родился самый известный роторн...

Intel отказалась от своей технологии криогенного охлаждения процессоров Intel впервые представила технологию Cryo Cooling с выпуском 10-го поколения процессоров Core (Comet Lake).

Китаю на освоение EUV-литографии потребуется не менее четырёх лет А то и все пять, и это в лучшем случае.

На всей территории Украины начались экстренные отключения электричества Изношенные электросети не справляются с возросшей нагрузкой работающих "на полный оборот" кондиционеров и вентиляторов.

[Перевод] Внутренние стремления и внешние злоупотребления — переплетённые риски ИИ С учётом того, насколько они будут способными, будущие ИИ‑системы смогут представлять серьёзные риски для общества. Некоторые из рисков исходят от того, что люди будут использовать ИИ в плохих целях (злоупотребление). А некоторые — от&...

В сети появилась дорожная карта Apple до 2027 года В сети появилась утечка дорожной карты, в которой якобы описываются планы Apple по выпуску продукции до 2027 года.

Сарказм, неформалы и мизантропия: какими были первые российские веб-комиксы конца нулевых годов? Часть первая Мы уже писали, что интернет-комиксы зародились в середине 1980-х годов. В Рунете они появились позже: первые эксперименты начались в середине нулевых годов, а сколько-то массовым и заметным явлением они стали только к концу 2000-х. Ныне русскоязычных веб-комиксов бесчисленн...

Hengtong приняла участие в MWC2024 На выставке MWC 2024 в Барселоне компания Hengtong провела презентацию своих новейших технологий и продуктовых решений в области 5G&5G, ODN и интеллектуальных литиевых батарей под названием «Освещая зеленое будущее». Компания Hengtong занимается разработкой и производств...

Университет Решетнева и Центр Келдыша проводят испытания 3D-печатных ракетных двигателей Опытные двигатели малой тяги изготовлены специалистами Сибирского государственного университета науки и технологий имени академика М. Ф. Решетнева для Исследовательского центра имени М. В. Келдыша. Цель экспериментов — изучение возможности применения аддитивных технологий в ...

В России впервые создали комплекс оборудования для гидроразрыва пласта. Он готов к серийному выпуску Госкорпорация «Роскосмос», «Московский институт теплотехники» и компания «Газпром нефть» успешно завершили испытания первого отечественного флота для гидравлического разрыва пласта (ГРП). Без этого метода повышения нефтеотдачи невозмо...

Российский «Микрон» готов начать массовое производство чипов по 65-нм узлу, но только в 2028г Почти на 25 лет позднее, чем это сделала Intel

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

SMIC и Huawei готовятся к массовому производству 5-нм чипов Технологическая гонка: Китай стремится завоевать лидерство в микроэлектронике.

Российская компания ICL открыла в Татарстане завод по монтажу материнских плат Группа компаний ICL открыла завод по поверхностному монтажу электронных печатных плат и производству вычислительной техники на территории особой экономической зоны «Иннополис» в Лаишевском районе Татарстана. Сейчас мощности производства составляют 300 тыс. м...

Власти США выделили $35 млн на увеличение объёмов выпуска чипов для F-35 На территории страны, разумеется.

Когда был большой взрыв в виртуальной реальности? История развития VR-технологий Сегодня шлемы Oculus Rift, Kinect, гарнитуры PS-VR и тактильные жилеты не кажутся чем-то фантастическим. Крупные IT-компании вроде Google и Apple уже давно создают устройства дополненной и виртуальной реальности. Почти любой человек может запустить любимую игру и погрузит...

Сгоревшие GeForce RTX 4090 приносят по 20-25 штук каждую неделю, и это только в одной мастерской. Похоже, проблема так и осталась массовой История о плавящихся разъёмах питания на видеокартах GeForce RTX 4090, как может показаться, осталась далеко в прошлом. Однако на самом деле это не так, и карты продолжают выходить из строя.  Владелец ремонтной мастерской с канала NorthridgeFix говорит, что пробле...

В Интернете началась массовая продажа данных американских военных. По 12 центов за запись В интернете набирает обороты масштабная торговля личными данными американских военнослужащих и членов их семей.

Министр цифрового развития РФ сделал прогноз о сроках внедрения беспилотных автомобилей в России Массовое использование беспилотного транспорта в РФ может начаться уже через несколько лет.

Стартовало серийное производство российского оптоволокна для линии связи, которая соединит Европу и Азию Завод «Оптиковолоконные системы» (входит в Роснано) начал серийное производство оптоволокна для Трансъевразийской волоконно-оптической линии связи (TEA NEXT). «Оптиковолоконные системы» — это единственный в России завод по серийному произво...

Китайская SMIC вошла в пятёрку крупнейших производителей, обойдя по доходам Samsung и Intel Несмотря на усиливающиеся санкции, китайцы продолжают наращивать производство полупроводниковой продукции

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Samsung разрабатывает LPDDR5X со скоростью 10,7 Гб/с Компания Samsung Electronics объявила об усовершенствовании технологии производства памяти, которая позволит создать первые модули LPDDR5X DRAM с высочайшей на сегодня скоростью 10,7 Гб/с.

SAIPA опровергла уход с рынка России. Поставки машин начнутся в «ближайшие месяцы» После появления сведения об уходе Saipa Automobile Group из России, эта группа дала официальный комментарий. В заявили, что ранее опубликованная информация не соответствует действительности. В данный момент компания готовится к выпуску своей продукции на рынках России и...

В Грозном строят первый технопарк за 1,3 млрд рублей В Грозном началось строительство первого технопарка в сфере электронной промышленности, который позволит создать сотни рабочих мест. "Альтаир" - это первый промышленный технопарк в Чеченской Республике. Реализация проекта позволит создать порядка 500 рабочих м...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)