Социальные сети Рунета
Вторник, 2 июля 2024

Мобильный процессор в настольном ПК попросту выгоднее. Lenovo начала продажи игрового Legion 7000K сегмента MoDT Компания Lenovo недавно представила настольный игровой ПК Legion 7000K, который относится к классу, называемому сейчас Mobile on Desktop (MoDT). То есть в нем используются мобильные процессоры для создания настольных ПК.  Теперь новинка Lenovo стала доступна для п...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

Lenovo использует мобильные процессоры Intel HX в новых игровых ПК Legion 7000K Lenovo начинает продажи Legion 7000K на базе мобильных процессоров Intel HX, бросая вызов традиционным представлениям о настольных игровых системах.

Это процессоры AMD продают только в Китае, но стоит ли расстраиваться из-за этого? Опубликованы первые тесты Ryzen 7 8700F и Ryzen 5 8400F Компания AMD недавно без лишнего шума выпустила процессоры Ryzen 7 8700F и Ryzen 5 8400F. Они вышли пока только для Китая. В Сети уже есть первые обзоры, поэтому мы теперь можем ознакомиться с ними.  Напомним, Ryzen 7 8700F и Ryzen 5 8400F представляют собой перев...

Такие ПК могут быть выходом в ситуации проблемных процессоров Core i9. Lenovo готовит настольный игровой ПК Legion Blade 7000K на мобильных CPU Core HX Компания Lenovо решила выйти на рынок MoTD (Mobile on Desktop). Речь о настольных компьютерах, основанных на мобильных процессорах. Этот сегмент в последнее время активно развивается, так как мобильные CPU стали намного более мощными, а старшие линейки у AMD и Intel тех...

Core i7, который быстрее прошлогоднего Core i9. Появились первые результаты тестов Core i7-14700HX Сети засветился мобильный высокопроизводительный процессор Core i7-14700HX, который Intel пока не представила. Это мобильная линейка Raptor Lake Refresh, которая ожидается в начале 2024 года.  Как и настольный Core i7-14700K, мобильный процессор имеет 20 ядер (8 б...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Процессоры AMD Zen 5 "Granite Ridge", как сообщается, запущены в массовое производство О процессорах Granite Ridge мы ничего не слышали с ноября прошлого года, а на декабрьском мероприятии "Advancing AI" AMD решила не представлять процессоры нового поколения для настольных ПК

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

За много месяцев до новых процессоров Intel. Стало известно, когда можно будет купить настольные Ryzen 9000 и мобильные Ryzen AI 300 Настольные процессоры Ryzen 9000 появятся в продаже 31 июля, о чем мы уже знаем. Теперь же стало известно, когда можно будет купить ноутбуки на основе новых APU Ryzen AI 300.  Согласно данным ритейлеров, такие ноутбуки поступят в продажу с 15 июля, то есть даже ра...

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

AMD представила гибридные процессоры Zen 5 для настольных ПК и Ryzen AI для мобильных устройств В ближайшее время будет выпущено в общей сложности восемь новых процессоров для настольных компьютеров, ноутбуков с искусственным интеллектом и даже для предыдущей платформы AM4.

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Intel будет тяжело конкурировать с такими новинками AMD. Представлены мобильные процессоры Ryzen AI 300, которые стали лучше предшественников буквально во всём Кроме настольных процессоров Ryzen 9000 компания AMD сразу же представила и новые мобильные APU, чего обычно не делает. Новая линейка Strix Point называется Ryzen AI 300, то есть подтвердились все последние слухи о новом принципе именования процессоров.  По каким-...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Lenovo представила десктопные ПК Legion Blade 7000K с мобильными чипами Intel HX Lenovo старается улучшить соотношение цены и производительности настольных ПК, используя мобильные процессоры в линейке Legion.

Анонсированы китайские процессоры Zhaoxin KX-7000 – 7-нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Китайская компания Zhaoxin представила 8-ядерный процессор KaiXian KX-7000, предназначенный для настольных ПК. Чип доступен в двух версиях, которые отличаются только диапазоном рабочих частот. ОсобенностиZhaoxin KX-7000 производятся по 7-нм техпроцессу и похож на Intel…

Intel нахваливает свой новый техпроцесс Intel 3, но процессоры Lunar Lake отдала на производство TSMC Вчера мы узнали, что TSMC приступила к массовому производству процессоров Intel Lunar Lake, основная плитка которых производится по техпроцессу 3 нм. При этом и сама Intel начала выпуск по нормам Intel 3, которые, как минимум согласно своему названию, должны быть сходны...

Ryzen 9000 подтверждены. Эта линейка уже упоминается в драйвере AMD На днях мы видели первое фото, на котором, возможно, запечатлён процессор настольной линейки Ryzen 9000. Теперь само название этой линейки подтверждено.  Данные о линейке Ryzen 9000 обнаружились непосредственно в драйвере чипсета AMD. Там нет указания, о настольны...

Топовые системные платы для процессоров Ryzen 9000 будут весьма дорогими. Всё из-за USB4 со скоростью 40 Гбит/с Компания AMD в этом году выпустит новые процессоры на основе архитектуры Zen 5. Согласно свежим данным, топовые системные платы для таких CPU будут весьма дорогими.  В частности, платы на основе чипсета X870E будут в обязательном порядке оснащены портами USB4 со с...

Настольные Core Ultra заказывали? Intel неожиданно представила процессоры Meteor Lake-SP, но купить их просто так не выйдет Компания Intel неожиданно представила настольные процессоры Core Ultra поколения Meteor Lake. Стоит сразу сказать, что, как и намекали некоторые утечки, настольные Core Ultra не нацелены на обычные продажи в рознице для обычных потребителей. Сама Intel позиционирует да...

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Недавнее заявление Intel было неверно трактовано. Полноценных настольных процессоров Meteor Lake-S всё же не будет Компания Intel, похоже, решила запутать общественность своими планами на настольный сегмент процессоров. Оказывается, полноценных настольных моделей Meteor Lake-S всё же не будет.  Да, исполнительный вице-президент Intel и генеральный менеджер группы клиентск...

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

Первые процессоры Intel Core, которые сама Intel производить не может. TSMC приступила к массовому производству Lunar Lake Ресурс DigiTimes сообщает, что TSMC приступила к массовому производству процессоров Intel Lunar Lake.  Судя по всему, ноутбуки на основе этих CPU появятся в конце третьего квартала, а CPU Arrow Lake выйдут на рынок в четвертом квартале.  Напомним, Lunar Lake,...

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Новый iPhone 16 получит невероятно мощный чип Мобильный процессор M4, установленный в последних моделях iPad Pro с диагональю 11 и 13 дюймов, в настоящее время является самым мощным и эффективным чипом компании Apple. Кроме того, технологический гигант утверждает, что этот чип оснащён самым производительным нейропроцесс...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

А тут Intel ответить будет нечем. Настольный Ryzen 7 8700G с iGPU Radeon 780M впервые засветился в тестах Новые CPU AMD сохранят сокет AM5, а вот у Intel снова будет замена. Настольные процессоры нового поколения стоит ожидать в третьем квартале 2024 года В Сети впервые засветился процессор AMD Ryzen 7 8700G. Это настольный APU на архитектуре Zen 4, который правильнее было...

И в настольном сегменте у Intel наконец-то будет что-то новое. Очередной процессор линейки Arrow Lake засветился в Сети В Сети в очередной раз засветился процессор Intel Arrow Lake-S. Название модели отсутствует, но зато известно о наличии 24 потоков. При этом количество ядер неизвестно, так как, напомним, малые ядра у Intel не поддерживают Hyper-Threading.  Утечка также указывает ...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

AMD и тут переименует чипы, чтобы не отставать от Intel. Ryzen 9000 получат чипсеты 800-й серии Недавно мы сообщали о том, что AMD решила переименовать грядущие мобильные процессоры Ryzen Strix Point, чтобы числовой индекс был выше, чем у конкурирующих CPU Intel. Теперь оказалось, что с чипсетами для настольных процессоров AMD проделает тот же фокус.  Сейчас...

Всем санкциям вопреки: Huawei впервые представит настольный компьютер на базе собственного процессора В мае 2024 года Huawei откроет новую для себя нишу — компания представит первый настольный компьютер на базе собственного процессора. Новинка под названием Qingyun W515x будет ориентирована не столько на розничный рынок, сколько на корпоративных пользователей. Qi...

Minisforum представляет мини-ПК MS-A1 с разъемом AM5 для настольных процессоров Ryzen Поскольку AMD и Intel продолжают стирать границы между мобильными и настольными процессорами, то же самое делает и Minisforum.

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

Теперь бюджетные дискретные видеокарты точно останутся позади. Графическое ядро Ryzen 8050 будет быстрее, чем RTX 2050 и RX 6400 Компания AMD в этом году выпустит мобильные процессоры нового поколения. Среди них будут монструозные Strix Halo с 2560 потоковыми процессорами в iGPU, а будут и Strix Point, которые получат до 1024 потоковых процессоров. Согласно свежим данным, такой iGPU будет быстрее...

Snapdragon X Elite будет конкурировать с процессорами Intel и AMD в том числе в настольном сегменте. Qualcomm хочет охватить все форм-факторы Компания Qualcomm недавно анонсировала свои платформы Snapdragon X для ноутбуков, а теперь объявила, что хочет использовать их и в настольных ПК.  Если точнее, компания объявила, что Snapdragon X Elite появятся во всех форм-факторах ПК, но кроме ноутбуков это в пе...

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Lenovo выпустила настольные ПК Legion 7000K с мобильными процессорами Intel Core 14 класса HX По сути, это настольные процессоры в корпусе BGA для производительных игровых ноутбуков и мобильных рабочих станций.

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

В Китае продаётся настольная GeForce RTX 4080M всего за 420-560 долларов, но есть нюанс. Эта карта вместе с RTX 4090M основаны на мобильных GPU Китайские компании добрались до мобильных видеокарт GeForce RTX 40 Laptop и начали создавать из них настольные карты.  В частности, на просторах китайских онлайн-магазинов уже можно найти настольные адаптеры, созданные из RTX 4080 Laptop и RTX 4090 Laptop. Такие к...

Очередная революция от AMD, но очень условная. Опубликованы обзоры процессоров Ryzen 8000G Сегодня был снят запрет на публикацию обзоров настольных процессоров AMD Ryzen 8000G, так что мы можем ознакомиться с тем, на что способны эти новинки.  Напомним, технически это фактически те же мобильные Ryzen 7040U/H/HS, но в настольном варианте. У них те же ядр...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Крошечный ПК Asus ExpertCenter PN65 с поддержкой 96 ГБ ОЗУ и возможностью подключения четырех 4K-мониторов поступил в продажу в Китае После презентации мини-ПК ExpertCenter PN65 ранее на выставке CES компания Asus выпустила эти устройства в Китае. Asus ExpertCenter — это линейка настольных компьютеров, предназначенных для бизнеса. ExpertCenter PN65 оснащен новейшими процессорами Intel Core Ultra...

Новые CPU AMD сохранят сокет AM5, а вот у Intel снова будет замена. Настольные процессоры нового поколения стоит ожидать в третьем квартале 2024 года Так AMD поддерживает старые сокеты. Компания выпустила новый процессор Ryzen 7 5700 и готовится выпустить ещё несколько Компания Intel представила процессоры Meteor Lake, которые полностью новые, но, во-первых, доступны только в ноутбуках, а во-вторых, глобально мало н...

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Первый чиплетный графический процессор AMD RX 7900M дебютировал в ноутбуке Alienware m18 Мощный мобильный графический процессор с предельной мощностью 180 Вт будет использоваться в мобильных устройствах, заменяющих настольные ПК.

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

Тестирование процессора Intel Core i9-14900K для платформы LGA1700: новый старый флагман Предыдущий топовый процессор Intel Core i9-13900K ощутимо повысил производительность по сравнению с предшествующим флагманом, а вот новый Core i9-14900K оказался практически тем же 13900K, просто с чуть повышенной тактовой частотой, которая еще и не всегда достигается на пра...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Игровой десктоп Lenovo Legion 7000K появился в продаже Компания Lenovo выпустила в китайскую продажу игровой компьютер Legion 7000K, который относится к сегменту Mobile on Desktop. Его особенностью является использование мобильного процессора в настольном ПК. Как и ожидалось, это позволило сделать новинку дешевле настольных сист...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

AMD представила линейку настольных процессоров AMD Ryzen 8000G Socket AM5 Компания планирует вывести свои 4 нм монолитные кремниевые процессоры "Phoenix" и "Phoenix 2" на сокетную настольную платформу

Похоже, AMD снова вернёт себе звание производителя самых мощный iGPU. Тесты графического ядра в процессорах Intel нового поколения на это намекают В конце текущего года Intel выпустит процессоры Arrow Lake, которые заменят Raptor Lake в настольном и мобильном сегментах. И один такой CPU уже засветился в бенчмарке.  Пока ещё безымянный процессор появился в базе SiSoft Sandra. В данном случае есть чуть больше ...

Ryzen 7 9700X будет намного мощнее предшественника? Новый восьмиядерный CPU AMD имеет TDP 170 Вт, как актуальные модели с 12 и 16 ядрами Вчера мы говорили о том, что процессоры AMD на основе архитектуры Zen 5 уже замечены за пределами лаборатории компании. Это были исключительно мобильные APU, а теперь такая же информация появилась и относительно настольных моделей.  Новое поколение настольных Ryze...

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

AMD неожиданно отложила запуск мобильных процессоров Ryzen AI 300. Но конкурентов от Intel пока все равно нет даже на горизонте Компания AMD неожиданно решила перенести стар продаж ноутбуков на основе новейших процессоров Ryzen AI 300.  Изначально было запланировано, что они выйдут 15 июля, но теперь сообщается, что запуск перенесен на 28 июля. При этом 30 июля, напомним, стартуют настольн...

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

Мобильные Intel Core 14-го поколения хоть и самые свежие процессоры, но поддержки функций vPro не получат. Всё из-за наличия в линейке Core Ultra Компания Intel подтвердила, что её новейшие мобильные процессоры Core Ultra (Meteor Lake) будут поддерживать набор функций, скрывающийся за маркировкой vPro.  Напомним, это функции, которые Intel ориентирует на профессионалов и корпоративных клиентов. В своё время...

Настольные Ryzen 7000G и новое поколение Ryzen 8000U впервые засветилось в Сети В Сети впервые засветились настольные процессоры Ryzen 7000G и мобильные APU нового поколения Ryzen 8000U.  Если говорить о первых, то пока засветились три модели: Ryzen 5 Pro 7500G, Ryzen 5 7500G и Ryzen 3 7300G. Первые два должны быть идентичны и, вероятно, буду...

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Новые подробности о мобильных процессорах Intel Panther Lake Компания Intel готовится к выпуску нового поколения процессоров Panther Lake для настольных компьютеров и ноутбуков

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

Intel сняла с производства процессоры 13 поколения с разблокированным множителем Компания Intel объявила о снятии с производства разблокированных "коробочных" настольных процессоров 13-го поколения Raptor Lake-S

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

DigiTimes: TSMC начала массовое производство 3-нм кристаллов для новых процессоров Intel В частности, Intel выбрала 3-нм техпроцесс TSMC для производства вычислительной «плитки» будущих процессоров Core Ultra 200 семейства Lunar Lake.

Никаких «супервидеокарт» GeForce для ноутбуков не будет. Новые адаптеры выйдут уже в рамках следующего поколения в 2025 году Компания Nvidia готовится представить настольные видеокарты GeForce RTX 40 Super и даже остановила массовое производство RTX 4070 Ti и RTX 4080. Похоже, в мобильном сегменте ничего такого нас не ждёт.  Фото: Nvidia Согласно данным Moore's Law Is Dead, Nvidia н...

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

«Сделано на Дону». Производство планшетов и смартфонов запустят в Ростовской области Губернатор Ростовской области Василий Голубев заявил, что российская компания «Бештау» планирует начать производство планшетов и смартфонов в Ростовской области. «Если посмотреть немного дальше, в 2027 год, то компания перед собой ставит цели, пра...

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

В РФ запустят производство оборудования 5G Производством оборудования для внедрения мобильных сетей пятого поколения в России займется дочерняя компания МТС. 

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Новое оружие AMD на ближайшие год или более. Gigabyte подтвердила, что грядущие настольные процессоры будут называться Ryzen 9000 Компания Gigabyte подтвердила, что настольные процессоры Ryzen нового поколения будут называться Ryzen 9000.   Это прямо указано в описании очередного обновления BIOS для некоторых системных плат компании. Когда состоится анонс, неизвестно, но есть мнение, что на ...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Tecno Mega Mini Gaming G1: «самый маленький в мире» игровой компьютер с жидкостным охлаждением и дискретной видеокартой Компания Tecno представила на выставке MWC 2024, которая проходит сейчас в Барселоне, миниатюрный игровой компьютер Mega Mini Gaming G1. Производитель утверждает, что это самый компактный в мире настольный игровой компьютер с жидкостным охлаждением и дискретной видеокар...

Таких процессоров Intel якобы вообще не существует, но системная плата для них уже есть. IBASE MI1002 предназначена для настольных Core Ultra Процессоры Intel Meteor Lake существуют только в виде мобильных версий. Или нет? Системная плата IBASE MI1002 для промышленных систем указывает на то, что Intel или собирается, или уже выпустила Core Ultra в виде настольных CPU.   Плата с сокетом LGA 1851 рас...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Опубликованы технические подробности процессоров AMD Zen 5 В публикации на Xwitter High Yield приводит список того, что мы знаем о настольных процессорах AMD следующего поколения: они будут работать на Socket AM5 и использовать тот же базовый процессорный пакет, что и Ryzen 7000, но они будут называться Ryzen 9000.

Первые тесты Ryzen AI 9 HX 370 показывают, что его iGPU настолько мощный, что догоняет настольную GeForce GTX 1650 Ti В Сети появились первые результаты тестирования нового мобильного процессора AMD Ryzen AI 9 HX 370, который является флагманом линейки Strix Point.  Стоит отметить, что в базе ПО процессор указан, как Ryzen AI 9 HX 170, но AMD в последний момент переименовала эти ...

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

Macintosh исполнилось 40 лет. Запущен сайт с сотнями фото и видео всех устройств линейки 40 лет назад Apple представила Macintosh, который произвел революцию на массовом рынке компьютеров. 24 января 1984 года компьютер был представлен Стивом Джобсом, он стал первым успешным устройством с графическим пользовательским интерфейсом. Кроме того, первый Macintosh...

В Москве запустили серийное производство материнских плат. Они изначально созданы под отечественные процессоры По сообщению руководителя московского Департамента инвестиционной и промышленной политики Владислава Овчинского, компания — резидент особой экономической зоны (ОЭЗ) «Технополис Москва» разработала и запустила в производство материнскую плату с поддержк...

Сможет ли AMD повторить свой прорыв, который совершила в настольном сегменте с переходом на чиплеты? Мобильные APU компании тоже станут такими Возможно, следующие мобильные процессоры AMD перейдут на чиплетную компоновку, как это реализовано в настольных Ryzen уже много лет.  В Сети появились новые подробности о линейках Strix, Sarlak и Kracken, и для всех указано наличие кристалла ввода-вывода, причём у...

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Названы предполагаемые обозначения ряда настольных процессоров Intel следующего поколения Следующие настольные процессоры Intel будут выпущены под новым брендом.

«Эталон российских настольных систем», — началось серийное производство ноутбуков «Гравитон» Н17И-Т Российская компания «Гравитон» сообщила о начале серийного производства ноутбука Н17И-Т, который производитель называет «премиальной моделью в металлическом корпусе, способной стать полноценной альтернативой настольным ПК». Также производитель ок...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Новые APU AMD Ryzen 8000G скоро получат значительное увеличение скорости с помощью прошивки Несмотря на то, что новые процессоры AMD Ryzen 8000G являются процессорами для настольных ПК, у них есть секрет: они фактически являются мобильными процессорами.

Почему МТС против? Оператор объснил, почему не хочет возвращать безлимитную раздачу интернета Как мы уже писали, российские операторы «Мегафон», «Вымпелком» (бренд «Билайн») и Теlе2 вернут абонентам возможность бесплатно раздавать интернет-трафик со своих мобильных устройств по требованию Федеральной антимонопольной службы (ФА...

Intel представила новую мобильную архитектуру Lunar Lake, выход которой запланирован на 3 квартал Компания задействовала 3-нм техпроцесс TSMC для одной плитки нового процессора, что знаменует собой монументальный сдвиг в стратегии производства процессоров.

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

GeForce RTX 3050 8G снимают с производства, но уже в январе выйдет новая версия Версия GeForce RTX 3050 с 8 ГБ памяти снимается с производства, а уже в январе следующего года ожидается выпуск новой версии GeForce RTX 3050 с 6 ГБ памяти. Об этом пишет IT Home со ссылкой на китайский источник Bobandang. Bobandang подтверждает, что новая настольная ви...

Могли бы получить Core i9-15900K, а получим Core Ultra 9 285K. Стали известны названия будущих настольных процессоров Intel В мобильном сегменте Intel уже отказалась от бренда Core i, перейдя на обычные Core и Core Ultra. В настольном такой переход нас ждёт позже в этом году с выходом Arrow Lake-S. И теперь мы знаем, как будут называться такие CPU.  Инсайдер перечислил шесть моделей бу...

Массовое производство Zen 5 процессоров AMD Granite Ridge уже может быть запущено Новую серию ожидают в этом году, она будет конкурировать с процессорами Intel Arrow Lake-S.

Qualcomm анонсировала процесоры Snapdragon X для устройств с Windows on ARM Qualcomm анонсировала новое поколение десктопных ARM-процессоров под названием Snapdragon X. Известно, что они будут основаны на ядрах Oryon от компании Nuvia, которую Qualcomm приобрела в 2021 году. Интересный факт — Nuvia основана бывшими инженерами Apple, работавшими над...

Google может перейти на чипсеты TSMC для Pixel 10 Телефоны Google Pixel могут претерпеть значительные изменения в 2025 году, поскольку, по некоторым данным, компания планирует перейти с Samsung Foundry на TSMC для своих процессоров Tensor. Инсайдер Revegnus заявил, что TSMC будет производить чипсеты для серии Pixel 10 и пос...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Intel сделала важное уточнение по поводу процессоров Meteor Lake для настольных компьютеров Теперь выяснилось, что вы не сможете купить настольный процессор Meteor Lake для самостоятельного апгрейда компьютера.

AMD начнёт продавать 3-нм процессоры уже в конце лета. Что о них известно? На днях стало известно о том, что компания AMD летом начинает продажу новых процессоров на базе архитектуры Zen 5. Производство запустят весной, и к осени чипы станут продавать уже в полном объёме. При этом у главного конкурента AMD, компании Intel, наиболее современные прод...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Совершенно новых процессоров AMD Ryzen осталось ждать буквально два-три месяца. ПК на основе Strix Point появятся уже в августе Первые процессоры AMD Ryzen нового поколения могут появиться уже через два-три месяца.  Компания Aoostar, отвечая на вопросы пользователей, сообщила, что ПК на основе новых Ryzen появятся уже в августе. Если точнее, такие устройства в августе предложат бренды перв...

«Самый антипотребительский продукт AMD, граничащий с мошенничеством». Тесты процессора Ryzen 7 5700 показали, что в играх он хуже Ryzen 5 5600 Линейка настольных процессоров AMD Ryzen уже давно включает как чиплетные модели, так и переехавшие из мобильного сегмента чипы с монолитным кристаллом. Недавно вышедший без лишнего шума Ryzen 7 5700 относится именно ко вторым, и тесты показывают, что для игр это очень ...

Ryzen 7000 станут «старыми» уже совсем скоро? Массовое производство CPU нового поколения на архитектуре Zen 5 уже стартовало Пока неизвестно, когда AMD представит процессоры Ryzen нового поколения на архитектуре Zen 5, но сообщается, что их массовое производство уже стартовало.  Об этом написал известный инсайдер Kepler в ответ на соответствующий вопрос. К сожалению, без каких-либо подр...

Samsung Galaxy A55 разочаровал своей производительностью На прошлой неделе в сети появились первые результаты теста смартфона Galaxy A55 в Geekbench 6, но это были тесты, запущенные не на самом устройстве Galaxy A55, а на некой тестовой инженерной платформе. Но теперь ситуация изменилась, так как прототип Galaxy A55 тоже протестир...

AMD снова может запутать обычных пользователей названиями своих CPU. На глобальный рынок выйдут Ryzen 8000F, которые лишены iGPU и уступают Ryzen 7000 Настольные процессоры AMD Ryzen 7 8700F и Ryzen 5 8400F дебютировали в Китае совсем недавно, но вскоре должны появиться и на глобальном рынке.  Новинки уже получили каталожные номера: 100-100001590BOX и 100-100001591BOX. То есть они будут продаваться именно в розн...

«Лунный» процессор Intel был впервые протестирован. 20-ядерный Lunar Lake появился в базе SiSoft Sandra Компания Intel уже явно располагает образцами процессоров Lunar Lake, потому как один из них засветился в базе SiSift Sandra.  Определить CPU позволило кодовое имя платформы — LNL-M LPP RVP1. ПО говорит о поддержке памяти LPDDR5, то есть это мобильный процес...

Российскую ОС «Аврора»портировали на отечественные планшеты Aquarius Cmp NS220RE Группа компаний «Аквариус» и «Открытая мобильная платформа» (ОМП) объявлили о завершении портирования корпоративной версии российской мобильной операционной системы «Аврора» на планшеты Aquarius Cmp NS220RE. Как отмечают компании, пл...

Названа стоимость настольных процессоров AMD Ryzen 7 8700F и AMD Ryzen 5 8400F AMD Ryzen 7 8700F и AMD Ryzen 5 8400F — это не мобильные чипы, а ЦП для десктопных ПК.

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

Qualcomm Snapdragon 8 Gen 4 будет потреблять слишком много энергии Появилась интересная информация о том, что компания Qualcomm может столкнуться с трудностями, связанными с энергопотреблением нового мобильного процессора Snapdragon 8 Gen 4. Специалисты отмечают, что проблему в этом направлении не удалось решить даже при помощи нового техно...

Samsung выпустит Galaxy S25 на собственных процессорах Западные журналисты поделились новостью о том, что Samsung планирует полностью отказаться от процессоров стороннего производства в своих флагманских устройствах в ближайшем будущем. Они считают, что вся линейка смартфонов Galaxy S25 будет использовать исключительно свои чипы...

Утечка из компании Gigabyte подтверждает некоторые характеристики новых процессоров AMD Настольные процессоры AMD серии Ryzen 9000 будут иметь такое же количество ядер и потоков, как и предшествующие линейки и TDP до 170 Вт.

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Intel хвалит свои техпроцессы, но новые CPU Lunar Lake будет полностью производить на мощностях TSMC Компания Intel раскрыла некоторые подробности о малых ядрах процессоров Lunar Lake.  Lunar Lake ожидаются ближе к концу года. Эти процессоры с самого начала создавались с упором на максимальную энергоэффективность, поэтому малым ядрам тут уделено много внимания.&n...

Intel представила "Arrow Lake" для настольных компьютеров и "Lunar Lake" для мобильных устройств Intel представила "Arrow Lake" для настольных компьютеров и "Lunar Lake" для мобильных устройств, которые появятся в этом году

К выходу готовятся новые процессоры AMD с самым мощным графическим ядром. Ryzen 7000G уже поддерживаются AGESA Похоже, вскоре на рынок выйдут настольные APU Ryzen 7000G с производительным графическим ядром.  Поддержка таких процессоров появилась в прошивке AGESA 1008 для системных плат. Это намекает на то, что анонс может быть уже близко. На странице описания платы Asus B6...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Портативный голографический дисплей Looking Glass Go отправляется в серийное производство Компания Looking Glass Factory запустила сбор средств на производство нового устройства под названием «Looking Glass Go». Это портативный дисплей для показа голограмм, по заявлению разработчиков – первое в мире устройство такого рода.

Intel действительно отказалась от технологии Hyper-Threading, которую создала более 20 лет назад. В процессорах Lunar Lake её уже нет Компания Intel на днях представила мобильные процессоры Lunar Lake, и теперь для них подтверждено отсутствие поддержки Hyper-Threading для больших ядер. То есть Intel отказывается от технологии гиперпоточности, которая дебютировала более 20 лет назад.  Своё решени...

Первое в Росссии контрактное производство сверхпроводниковых квантовых процессоров запустит Бауманка МГТУ им. Н. Э. Баумана и ФГУП «ВНИИА им. Н. Л. Духова» анонсировали открытие первого в России контрактного производства сверхпроводниковых квантовых процессоров на 100-мм пластинах. Производство будет располагаться в новом кампусе МГТУ им. Баумана ...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Так выглядит новый сокет LGA1851 для будущих процессоров Intel Core (Ultra) 200 (Arrow Lake-S): первое качественное фото На выставке Embedded World 2024 состоялась премьера первых материнских плат с разъемом LGA1851. В частности, на фото ниже показана плата Mi 1002 разработки тайваньской iBase. Она рассчитана на новые CPU Meteor Lake PS — те же мобильные Intel Core Ultra 14 поколени...

GeForce RTX 3060 — официально самая популярная видеокарта у геймеров в Steam Valve опубликовала очередную статистику сервиса Steam. На сей раз по итогам завершившегося сентября.  И изменения на сей раз вполне заметные. GeForce RTX 3060 наконец-то стала однозначным лидером рейтинга. Сейчас эта карта имеется у 6,27% геймеров в Steam, причём в...

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Мобильная видеокарта Radeon RX 7900M умудрилась существенно опередить GeForce RTX 4090 Laptop. Правда, пока только в одном тесте Мобильная видеокарта Radeon RX 7900M, которая является единственным мобильным топовым решением у AMD, умудрилась обойти мобильную GeForce RTX 4090 Laptop в первом тесте.  К сожалению, это не игра, а бенчмарк Geekbench Vulkan. Новинка набрала более 171 000 баллов, ...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Intel снимает с производства процессоры Raptor Lake-S Сегодня компания Intel официально сообщила о своих планах по прекращению выпуска настольных процессоров 13-го поколения Raptor Lake-S с разблокированным множителем — начиная с текущего квартала BOX-версий чипа выпускать никто не будет. Последний срок для оформления заказов ...

AMD продолжает теснить Intel абсолютно во всех сегментах рынке процессоров Компания AMD продолжает понемногу отвоёвывать долю процессорного рынка у Intel. По итогам прошлого квартала, согласно данным Mercury Research, доля AMD на рынке CPU в целом выросла с 17% до 20,6% в количественном выражении и с 12,5% до 16,3% — в денежном.   ...

AMD запустит в производство чипы Zen 5 в 3 квартале 2024 Zen 5 будет использоваться в настольных, мобильных и серверных чипах AMD.

«Катюша» наладила крупносерийное производство принтеров и МФУ на российских платах Российская компания "Катюша" запустила крупносерийное производство высокопроизводительных принтеров и МФУ, использующих отечественные электронные компоненты, в том числе системные платы, SSD-накопители и считыватели бесконтактных карт. Новые устройства также совместимы с рос...

Китай на пути к технологической независимости: представлена однокристальная система Unisoc T765 Компания Unisoc представила новейшую однокристальную систему Unisoc T765 с поддержкой сетей 5G для мобильных устройств среднего ценового сегмента. Unisoc T765 производится по нормам 6-нм техпроцесс EUV, мобильная платформа получила два больших ядра A76 с частотой 2,3 ГГ...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

AMD теснит Intel по всем фронтам. На рынке серверных процессоров AMD занимает уже почти четверть, забирая почти треть всей выручки Согласно данным аналитиков Mercury Research, в четвёртом квартале прошлого года компания AMD смогла существенно нарастить свою долю на рынке процессоров.  В целом на рынке CPU в количественном выражении компания теперь занимает 20,2% против 17,1% за год до этого. ...

27-летнюю Windows NT 4.0 запустили на современном процессоре Intel без эмуляции Современные потребительские процессоры не поддерживают очень старые версии Windows. Это отлично знают любители старых компьютерных игр, которым под их задачи приходится собирать ПК для ретрогейминга. Однако энтузиаст с псевдонимом O_Mores сумел запустить Windows NT 4.0 ...

При создании Snapdragon 8 Gen 4 Qualcomm использует наработки 2016 года. Новая платформа получит собственные ядра, и их может быть 12 На мероприятии Snapdragon Summit 2023 компания Qualcomm представила передовую однокристальную систему Snapdragon X Elite для ноутбуков под управлением Windows. Ее особенностью стал мощный центральный процессор Oryon собственной разработки. И, как оказалось сейчас, этот ...

Мобильные процессоры AMD Ryzen 8040U и настольные процессоры 7000G замечены в обновлении Zen 4 Эту информацию опубликовал известный блогер @harukaze5719 на Xwitter.

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

Замечен игровой ПК Alienware с процессорами AMD Ryzen серии 9000 На китайскоязычном форуме ChipHell появилось изображение настольной системы Alienware. Текст под изображением гласит: "Настольный компьютер Alienware с процессором AMD Ryzen™ серии 9000".

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Эти процессоры Intel в конце 2024 года предложат максимум четыре больших и четыре малых ядра. Cirrus Logic поможет сделать платформу Lunar Lake эффективнее Компания Intel уже говорила, что создаёт мобильные процессоры Lunar Lake в первую очередь с большим упором на энергоэффективность. Оказалось, что в этом вопросе Intel будет полагаться не только на себя.  фото: Intel Повысить энергоэффективность платформы Lunar Lak...

Системная плата с собственной испарительной камерой на процессоре. Erying представила необычный продукт с мобильными CPU Intel Компания Erying представила весьма необычную системную плату для настольных ПК. Выделяется новинка двумя особенностями: распаянными процессорами и системой охлаждения.  Если говорить о CPU, то речь о том, что тут уже распаян какой-либо из процессоров мобильной лин...

Новое оружие Intel, но уже без Hyper-Threading. Стало известно, когда выйдут процессоры Arrow Lake для ноутбуков Документы компании Clevo пролили свет не только на видеокарты GeForce RTX 50 Laptop, но и на будущие мобильные процессоры Intel.  Согласно этим документам, мобильные Arrow Lake выйдут в четвертом квартале. Настольные, напомним, тоже (в октябре). Нас ждут Arrow Lak...

А вот эти новые процессоры AMD уже выглядят намного более интересно. AMD немного рассказала о Ryzen поколения Strix Point Процессоры Ryzen 8000U/H/HS могут разочаровать отсутствием хоть каких-то значимых улучшений, однако это далеко не все новинки 2024 модельного года, которые готовит AMD. Линейка Strix Point будет намного интереснее.  Согласно слайдам AMD, эта линейка также выйдет в...

Процессоры Intel Arrow Lake для настольных систем начнут поставляться в четвёртом квартале Мобильные Lunar Lake выйдут в третьем квартале.

10нм техпроцесс и 6 ГГц: Intel ставит новые рекорды производительности чипов. Что нового? На Хабре не так редко публикуются новости и статьи о разгоне «железа». В частности, профессиональные оверклокеры используют специализированные оборудование, жидкий азот и другие ухищрения, чтобы добиться от процессоров небывалой производительности. Компания Intel ставит р...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

AMD концентрируется на серверных и мобильных процессорах? Компания пообещала в первую очередь именно их во второй половине года Комментируя результаты прошедшего финансового квартала, глава AMD Лиза Су (Lisa Su) рассказала о планах компании на текущий год.  В частности, нас точно ждут новые процессоры на архитектуре Zen 5, причём сразу в нескольких сегментах. Во втором полугодии AMD выпуст...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

REV-9: необычный ноутбук с 64-ядерным процессором и настольной RTX 4080 В Китае готовят по-настоящему мощную мобильную рабочую станцию.

Доля процессоров AMD в сегменте настольных ПК за год взлетела на 24% На мобильном и серверном рынках также виден рост

Первый тест процессора AMD с большими и малыми ядрами. Ryzen 3 7440U в однопоточном режиме быстрее настольного Core i3-13100F В Сети появились первые результаты тестирования процессора Ryzen 3 7440U. В данном случае это интересно по той причине, что Ryzen 3 7440U относится к линейке Phoenix 2, в отличие от других Ryzen 7040U.   Этот APU был представлен вместе со старшими моделями ещё в м...

Наконец-то действительно новые процессоры AMD. Компания подтвердила выход CPU на архитектуре Zen 5 в этом году Компания AMD сегодня не только отчиталась за финансовый год, но и подтвердила примерные сроки выхода новых процессоров Ryzen.   Сотрудник Tom’s Hardware говорит, что AMD подтвердила выход процессоров на архитектуре Zen 5 во второй половине текущего года. Точ...

Представлена первая российская базовая станция операторского уровня стандарта GSM/LTE Представлен первый произведенный в России образец базовой станции операторского уровня мобильной связи отечественного производителя телеком-оборудования ООО «Булат» (дочерняя компания «Ростелекома»). Производство опытной партии запустили в апреле...

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

Почему процессоры ARM вытесняют с насиженных мест процессоры х86 и какая в этом роль компании Apple Процессоры ARM развиваются очень динамично, не в пример вялотекущему развитию процессоров х86. Процессоры ARM кроме занятой ими ниши мобильных устройств покусились и на исторически устоявшийся ареал обитания процессоров х86. А компания Apple внесла в эту экспансию свой больш...

Представлена материнская плата со встроенным процессором Core i9-13980HX за 366 долларов Это лишь одна из восьми новых материнских плат от компании ERYING, выпускающая платформы MoDT с мобильными процессорами, предназначенными для настольных систем

Российский «Аквариус» запустит производство роутеров корпоративного класса Российский производитель компьютерной техники «Аквариус» сообщил о планах запустить производство собственных точек доступа Wi-Fi корпоративного класса. Сгенерировано нейросетью Dall-E Отмечается, что компания совместно с российским разработчиком программног...

AMD Zen 5: новая утечка информации о мощных процессорах Утечка информации о поставках раскрыла секреты грядущих процессоров AMD, включая долгожданную архитектуру Zen 5. Эта утечка позволяет заглянуть в планы AMD по выпуску настольных и мобильных процессоров следующего поколения, намекая на значительный прирост производительности ...

Раскрыты даты выпуска и цены процессоров AMD Ryzen AI 300 и Ryzen 9000 Утечки от ритейлеров сообщают о 15 и 31 июля для мобильных и настольных процессоров соответственно

Преемник Strix Point от AMD вероятно получит кодовое название "Sound Wave" Появились первые признаки того, что мобильный процессор или настольный APU AMD, который придет на смену Strix Point, получит кодовое название "Sound Wave"

AMD представила процессоры Ryzen Pro серий 8040 и 8000 для мобильных и настольных бизнес систем Аналоги потребительских моделей с набором технологий AMD Pro.

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

AMD выпустит новые графические процессоры для ноутбуков RX 7700M/7800M на CES 2024 По данным TechPowerUp, AMD вскоре выпустит видеокарты для ноутбуков на базе графического процессора Navi 32. Речь идёт о моделях под названием RX 7700M и RX 7800M. До этого момента AMD выпустила различные графические процессоры для ноутбуков серии RX 7000, включая высок...

В России запустили серийное производство материнской платы для процессоров «Байкал» и «Элвис» О запуске производства «матерей» для российских процессоров заявил ресурс Moscowseasons.

Теперь Intel сравнивает свои CPU не с чипами AMD, а со Snapdragon. Процессоры Lunar Lake выйдут уже в третьем квартале Процессоры Intel Lunar Lake действительно выйдут раньше, чем считалось ранее. Intel подтвердила запуск в третьем квартале текущего года.  Процессоры Lunar Lake-MX ориентированы на мобильные ПК, причём не самые мощные. Это процессоры, которые с самого начала разраб...

AMD готовит к релизу линейку чипов Ryzen 8000G Сегодня западные журналисты поделились довольно интересной информацией, согласно которой компания AMD планирует представить свою новейшую линейку чипов APU для настольных компьютеров под брендом Ryzen 8000G. Новые APU (система на кристалле) используют две ключевые технологии...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

HUAWEI представила новый 5-нм чип Kirin 9006C Компания стремительно набирает обороты в производстве собственных процессоров для мобильных устройств.

Настольный GeForce RTX 4090 сравнили в ИИ вычислениях с мобильной версией AMD Графический процессор NVIDIA GeForce RTX 4090 обеспечивает значительную производительность в области искусственного интеллекта (ИИ).

Обнаружены первые процессоры AMD Ryzen 8040U для мобильных и настольных ПК Подробностей о характеристиках грядущих новинок пока нет, известны лишь их названия

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Microsoft запустила чат-бот Copilot в Telegram Компания Microsoft начала открытое бета-тестирование фирменного чат-бота Copilot в популярном мессенджере Telegram. Сервис доступен всем желающим, хоть и с некоторыми ограничениями.  Иллюстрация: Microsoft В компании рассказали: Встречайте своего нового повседневн...

Samsung готовит мобильные процессора на 3 нанометрах Можно с уверенностью сказать, что компания Apple лидирует в области мобильных чипов для смартфонов и ноутбуков, но конкуренты постепенно догоняют гиганта из Купертино. Например, если верить инсайдерам, компания Samsung готовит свои собственные 3-нм чипы для следующих флагман...

Перед выходом настольных процессоров Ryzen 9000 компания AMD снижает цену на Ryzen 7 7800X3D Лучший игровой процессор AMD стал ещё дешевле.

Intel представила 65- и 35-ваттные настольные процессоры Core 14-го поколения К настольной линейке добавилось 18 новых моделей

Мини-ПК с 12-ядерным Core i5 за 274 доллара? Новая модель Chuwi CoreBox в виде Barebone-комплекта оценена очень дёшево Компания Chuwi представила новую модель своего мини-ПК CoreBox, которая предлагает процессор Intel Core i5 и стартовую цену всего в 274 доллара.  Сердцем новинки служит Core i5-13500H. Всё чаще мы видим настольные ПК, оснащённые мобильными процессорами, и нередко ...

ГК «Аквариус» выпустила российские компьютеры Группа компаний «Аквариус» выпустила первые отечественные настольные компьютеры и моноблоки с процессорами 14 поколения i5−14 400 и i3−14 100. В новой линейке представлены компактные модели Aquarius Pro P30 K51, Aquarius Pro P30 K50, а также моноблоки Aquarius Mnb Pro T924 и...

Процессоры Intel 14-го поколения Core 65 Вт для настольных ПК начнут продаваться 8 января Компания Intel готовится расширить линейку настольных процессоров 14-го поколения Core "Raptor Lake Refresh"

Карманная видеокарта, в которую можно установить ещё и SSD. OneXGPU наконец-то вышла на Indiegogo по цене 600 долларов Внешняя видеокарта OneXGPU наконец-то стала доступна для заказа. Компания вышла на Indiegogo, и все желающие теперь могут купить устройство в рамках кампании по сбору средств.   Цена в рамках кампании составляет 600 долларов. В рознице же устройство будет стоить 7...

Samsung Galaxy S25 выйдет на базе Exynos 2500 Согласно информации от западных инсайдеров, компания Samsung планирует придерживаться стратегии с двумя процессорами для своей предстоящей серии флагманских смартфонов Galaxy S25. Это значит, что некоторые регионы мира будут предлагать смартфон нового поколения на базе проце...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Анонсирован процессор AMD Ryzen 7 5700 для платформы Socket AM4 Компания AMD готовится обновить линейку настольных процессоров не только новыми APU серии Ryzen 8000G для платформы Socket AM5, но и несколькими новыми SKU для AM4

AMD Ryzen Pro серии 8000, 64 ГБ оперативной памяти DDR5 и 2 ТБ SSD. Представлены новые настольные ПК ThinkCentre Lenovo обновила линейку продуктов ThinkCentre и выпустила три настольных ПК, оснащенных процессорами AMD Ryzen Pro серии 8000. В новую линейку вошли высокопроизводительный ПК ThinkCentre M75t Gen 5, ThinkCentre M75s Gen 5 и компактный ThinkCentre M75q Gen 5. M75t ...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Сокет AM5 будет сменён уже в этом году? Данные о новых процессорах AMD говорят о том, что они будут иметь немного иное исполнение В этом году компания AMD должна представить множество новых процессоров на архитектуре Zen 5. Кроме прочих, там будут и настольные Granite Ridge. Интересно, что они могут получить исполнение не AM5, а AM5+.  По крайней мере именно такое название сокета значится в ...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Китай запустил крупный завод с уникальной технологией производства этанола China Daily: компания Shaanxi Yanchang Petroleum Group в сентябре запустит на северо-западе Китая самое масштабное в мире производство этанола из каменного угля.

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

Высокий процент брака и плохая энергоэффективность. У Samsung большие проблемы с 3-нм техпроцессом Ранее ходили слухи, что Samsung добилась успеха со своими 3-нм техпроцессами, а разработка 2-нм техпроцессов продвигается гладко. Но, по данным Businesskorea, 3-нм техпроцесс Samsung Foundry по-прежнему значительно уступает технологиям TSMC как по проценту бра...

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

Уязвимость Reptar затрагивает серверные и десктопные процессоры Intel Компания Intel устранила серьезную уязвимость в процессорах для десктопов, серверов, мобильных устройств и встраиваемых систем, включая новейшие микроархитектуры Alder Lake, Raptor Lake и Sapphire Rapids. Проблема может использоваться для повышения привилегий, получения дост...

Microsoft уверена, что устройства на базе Windows on ARM смогут превзойти решения Apple На протяжении многих лет Qualcomm не удавалось создать по-настоящему мощный ARM-процессор для настольных компьютеров, который жизненно необходим для успеха Windows on ARM. За это время Apple успела выпустить несколько поколений устройств на ARM-процессорах собственной разра...

Раздача файлов на смартфоны без интернета. Опенсорсные альтернативы AirDrop Формулировка задачи. У нас есть настольный компьютер и мобильные устройства под Android. Задача простая — как быстро перебросить файлы с компьютера на телефоны и планшеты. Например, скачанные подкасты и HD-фильмы 1080p, файлы apk для установки, рабочие файлы с персоналки, ...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Такое может только AMD. Компания выпустит AM4-процессоры Ryzen 9 5900XT и Ryzen 7 5800XT — спустя по чти 7,5 лет с момента выпуска платформы AM4 На следующей неделе AMD официально представит процессоры Ryzen 9000 для настольных ПК, но, как оказалось, компания готовит большой сюрприз для всех обладателей «старой» платформы AM4 — для неё будут выпущены два новых процессора: Ryzen 9 5900XT и Ryzen...

Процессоры Ryzen 9000 выйдут намного раньше, чем конкуренты из стана Intel Новейшие мобильные процессоры AMD Ryzen Strix Point могут выйти на рынок уже в августе, но оказалось, что настольные Ryzen 9000 появятся еще раньше.  Инсайдер wjm47196 утверждает, что анонс новых CPU состоится уже на Computex 2024 на следующей неделе, а в продаже ...

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

В следующем году Huawei откроет завод во Франции Стало известно о планах китайской компании Huawei построить и запустить завод по производству оборудования для сетей мобильной связи. Строительство должно начаться в 2024 году.

AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Компания AMD уже довольно давно выпускает процессоры, а с недавних пор и GPU, с чиплетной компоновкой. Но чиплетными являются либо настольные CPU, либо мобильные высокопроизводительные, которые технически представляют собой те же настольные. AMD говорит, что доступные ч...

Windows 11 24H2 не будет работать на старых ARM-устройствах Windows 11 никогда не поддерживала ARM-устройства на базе процессоров Snapdragon 835. В официальной документации значится, что для работы системы требуется Snapdragon 850 или новее. Конечно, пользователи могли на своё страх и риск установить Windows 11 на старых устройствах...

Картина новой битвы Intel и AMD определена. Стало известно, когда выйдут мобильные процессоры Core Ultra 200V и настольные Core Ultra 200 В Сети появилась информация о более точных датах выхода новых процессоров Intel на рынок.  Мобильные Lunar Lake будут первыми из нового поколения. Они ожидаются в сентябре в период между 17 и 24 числом. Напомним, это CPU, ориентированные в первую очередь на энерго...

Intel Core Ultra 9 285K «Arrow Lake» будет работать на частоте около 5,5 ГГц По слухам, грядущий процессор Intel Core Ultra 9 285K «Arrow Lake» для настольных ПК будет работать на частоте около 5,5 ГГц, что меньше, чем у существующих процессоров Raptor Lake

Qualcomm не закрыла тему заказа в производство чипов компании Samsung По слухам, её интересует 2-нм техпроцесс южнокорейского подрядчика.

Так просто AMD сделает новые процессоры из старых. Ryzen 8000G будут моделями, которые могли бы выйти под именем Ryzen 7000G Компания AMD пока так и не представила настольных процессоров Ryzen 7000G, хотя в последнее время о них появляется всё больше утечек. Согласно свежим данным, вполне вероятно, что такие APU всё же не выйдут. Но не потому, что AMD решила от них отказаться, а потому, что в...

AMD готовит GPU с 288 ГБ памяти. Ускоритель Instinct MI325X выйдет уже в этом году Ускорители для ИИ AMD Instinct 300 предлагают рекордный для рынка объём памяти до 192 ГБ, а теперь компания рассказала, что уже в этом году выпустить модель с 288 ГБ памяти!  Такой моделью будет Instinct MI325X. Она получит 288 ГБ памяти HBM3e. Пропускная способн...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

Ryzen 9000 ближе, чем все думали? В Сеть попала рекламная брошюра, где упоминаются такие процессоры Процессоры Ryzen 8000G, как мы уже сообщали, могут появиться вместо Ryzen 7000G уже в конце текущего или в начале следующего года. Возможно, Ryzen 9000 тоже уже на подходе.  В Сеть попало фото рекламной брошюры Dell, где изображён игровой ПК Alienware, якобы оснащ...

В России начали серийное производство принтеров на отечественных системных платах Российская компания «Катюша Принт» запустила серийное производство принтеров и многофункциональных устройств (МФУ) под брендом «Катюша» на отечественных системных платах.

Xiaomi собирает деньги на выпуск полезного аксессуара для iPhone 15 – магнитного мобильного аккумулятора на 6000 мАч с поддержкой беспроводной зарядки Xiaomi запустила сбор средств коллективного финансирования на новый аксессуар – мобильный аккумулятор Xiaomi Magnetic Power Bank 2. Устройство предназначено для смартфонов iPhone линеек iPhone 13, iPhone 14 и iPhone 15. Пиковая мощность зарядки составляет 15 Вт, в...

Процессоры AMD Kraken Point предположительно будут иметь четыре ядра Zen 5 и четыре ядра Zen 5c Согласно утечкам, он будет основан на ядрах процессора Zen 5, ядрах графического процессора RDNA 3.5 и аппаратном обеспечении NPU XDNA 2, все они будут изготовлены по техпроцессу N4 TSMC.

Google работает над возможностью запуска ChromeOS на Android-устройствах Google работает над возможностью запуска ChromeOS на Android-устройствах, что позволит использовать смартфоны как полноценные настольные ПК.

Процессоры AMD Ryzen Zen 5 Granite Ridge поступят в продажу во второй половине 2024 года Новые процессоры Ryzen будут выпущены одновременно с появлением на рынке настольных процессоров Arrow Lake-S от Intel

AMD тоже начнёт активно использовать малые ядра в своих процессорах. Появились подробности об APU Strix Point, Kraken Point и Sonoma Valley Компания AMD готовит в этом году сразу несколько линеек новых процессоров на основе архитектуры Zen 5. Такие решения появятся как в мобильном, так и в настольном сегментах. И сегодня появились подробности о конфигурации ядер таких APU для ноутбуков.  Итак, линейка...

Lenovo выпустила в Китае новый графический процессор Radeon RX 6600 LE. Компания Lenovo представила новый графический процессор Radeon RX 6600 LE, разработанный собственными силами. Новинка будет использоваться в настольных ПК Lenovo GeekPro 2023 и будет конкурировать с Xbox Series по цене.

Наконец-то AMD вернётся к наращиванию количества ядер у своих процессоров. В Сети засветился APU Ryzen поколения Strix Point с 12 ядрами В базе Geekbench засветился мобильный процессор AMD линейки Strix Point. Новинка пока не имеет имени и скрывается за кодом 100-000000994-14_N. ПО подтверждает последние слухи и утечки о том, что количество ядер у процессоров Strix Point будет увеличено с текущих восьми...

Процессор Zilog Z80 спустя почти 50 лет решили снять с производства Мир технологий прощается с легендой. Спустя почти 50 лет компания Zilog прекращает производство процессора Z80 — микропроцессора, на котором работало бесчисленное множество консолей, аркадных автоматов и встраиваемых устройств.

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

iGPU с частотой почти 3 ГГц. Настольный Ryzen 5 8600G впервые засветился в бенчмарке Настольный процессор Ryzen 5 8600G, который вместе с остальными моделями линейки будет представлен в ближайшее время, засветился в Geekbench.   Благодаря ПО мы можем подтвердить, что APU содержит шесть процессорных ядер с частотой до 5 ГГц и iGPU, который определя...

Самые кошачьи ноутбуки? Colorful готовит мобильные ПК MEOW с процессорами AMD Компания Colorful готовится выпустить ноутбуки семейства MEOW с процессорами AMD.  Полноценный анонс запланирован на 23 февраля, но ноутбуки уже проходят сертификацию. Известно о двух моделях: MEOW R15 24 и MEOW R16 24, оснащённых экранами диагональю 15 и 16 дюймо...

У AMD получилась настоящая ракета. Мобильный Ryzen AI 9 HX 370 опережает Ryzen 9 5950X, а iGPU почти на уровне RTX 3050 Laptop В Сети появились новые результаты тестирования процессора Ryzen AI 9 HX 370, которые выглядят намного более впечатляющими, чем предыдущие.  Результатами поделилась компания GPD, известная своими портативными игровыми приставками. Это делает информацию более достов...

Процессоры AMD Zen 5 для настольных и мобильных ПК Ryzen упомянуты в транспортной документации Блогер @momomo_us опубликовал единственный фрагмент из журнала таможни, который судя по всему, относился к каким-то будущим процессорам, а в ответ @harukaze5719 отметил, что там было еще много интересных записей.

Интегрированное графическое ядро APU AMD Strix Halo сможет тягаться с RTX 4070 Laptop. Появились подробности и тесты процессора Позже в этом году AMD выпустит мобильные процессоры Strix Halo, которые будут сильно отличаться от всего остального на рынке. И сегодня у нас есть подробности об этих APU.  Stix Halo будут включат три чиплета: два процессорных и один чиплет SoC. Каждый чиплет CPU б...

До конца года в России начнут серийное производство мини-камер машинного зрения Холдинг Росэлектроника госкорпорации Ростех собирается запустить серийное производство мини-камер машинного зрения, которые должны заменить зарубежные аналоги. Разработкой устройств занимается НПП «Пульсар», входящее в холдинг Росэлектроника. Замгендиректора...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Qualcomm открывает ИИ-библиотеку для смарт-устройств Компания Qualcomm запустила свой AI Hub, коллекцию готовых ИИ-моделей, которые можно запускать на любом устройстве, основанном на процессорах Snapdragon.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Это Nothing Power (1). Первое фото устройства, которое так и не увидело свет Компания Nothing, основанная соучредителем OnePlus Пей Ю, планировала запустить мобильный блок питания Nothing Power (1) ещё в 2021 году. Однако в итоге от этой затеи отказались по ряду причин. Nothing намеревалась выпустить блок питания после наушников Nothing Ear (1)....

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

AMD представила мобильные процессоры для устройств Copilot+ PC На ежегодной выставке Computex 2024 компания AMD представила мобильные процессоры линейки Ryzen AI 300, которые относятся к семейству APU Strix Point. Чипы включают в себя процессорные ядра на архитектуре Zen 5, графику RDNA 3.5 и нейронный процессор XDNA 2 (NPU) для ускоре...

Новейший тест 3DMark Steel Nomad заменит Time Spy. Он будет бесплатным для всех пользователей Компания UL Solutions объявила сегодня, что выпустит новый тестовый проект Steel Nomad в 3DMark и предоставит его существующим пользователям бесплатно, начиная с первого квартала 2024 года. Напомним, тест Time Spy был запущен в 2016 году. Это широко используемый эталонн...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Уже в следующем году мы сможем купить ноутбук с Windows и процессором, созданным MediaTek и Nvidia Похоже, компания Nvidia собирается выйти на рынок Arm-процессоров для ПК с Windows не самостоятельно, а при поддержке MediaTek.  Как сообщается, две компании будут сотрудничать для создания однокристальных систем, которые при производстве будут использовать технол...

Китайцам удалось разработать и выпустить самую емкую в мире флеш-память. В чем прогресс? О компании YMTC мы несколько раз уже писали на Хабре. Например, в этой статье мы показали, как санкции США ударили по производству китайских чипов памяти. Тем не менее, бизнесу в КНР, связанному с производством электроники, удается не только выживать, но и реализовывать вес...

Графические процессоры Intel Arc значительно улучшили Pytorch для Llama 2 Многие считают, что PyTorch предназначен для графических процессоров NVIDIA, но на самом деле это не так. PyTorch не зависит от платформы; просто многие пакеты, созданные на PyTorch, активно используют API CUDA NVIDIA. Вы можете запустить PyTorch практически на чем угодно; о...

700 000 мА•ч, 24 кг, 2400 Вт и 3000 циклов. Представлен внешний аккумулятор для бытовой техники и мобильных устройств от Jingdong Tokyo Jingdong Tokyo представила мобильный источник питания для уличного использования мощностью 2400 Вт. Производитель утверждает, что устройство использует аккумуляторы автомобильного класса и имеет «срок службы 3000 циклов». Согласно официальной информации, вне...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Huawei говорит, что ее ИИ-процессор Ascend 910B может быть быстрее Nvidia A100, но лишь в «в некоторых других тестах» Компания Huawei утверждает, что ее процессор Ascend 910B может быть быстрее, чем Nvidia A100.  Руководитель Huawei по управлению бизнесом в сфере ИКТ-инфраструктуры Ван Тао (Wang Tao) заявил, что этот находится на одном уровне с Nvidia A100, если даже не лучше. В ...

MSI и Kingston предлагают использовать CAMM2 в настольных ПК В прошлом году JEDEC утвердила стандарт памяти CAMM2 для использования в ноутбуках, однако MSI решила создать первую материнскую плату для настольной машины, оснащённой модулями этой памяти.

TSMC ожидает рост спроса на рынке компьютерного железа и мобильных устройств В компанию планируют нарастить производство за счёт новых заводов за пределами Тайваня

Huawei жертвует производством SoC Kirin и смартфонов Mate 60 ради выпуска ускорителей для искусственного интеллекта Компания Nvidia, как ранее сообщалось, частично жертвует производством GPU для игровых видеокарт в пользу ускорителей для ИИ. Похоже, примерно то же будет делать Huawei.  Как сообщается, китайский гигант намерен отвоевать у Nvidia часть её доли на рынке ускорителе...

Xiaomi анонсировала «самый мощный игровой ноутбук в пределах 1400 долларов» В завершении сегодняшней конференции, на которой представили Xiaomi 14 Ultra, Redmi Book Pro 16 2024 и Xiaomi Pad 6S Pro 12.4, состоялся анонс будущего игрового ноутбука Redmi G Pro 2024. Устройство не представили, но показали на тизере, а новый главный менеджер Xiaomi ...

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года Возможно, некоторые процессоры Intel, которые мы ожидали в этом году, выйдут лишь в следующем.  Согласно данным инсайдера Golden Pig Upgrade, в этом году не будет новых высокопроизводительных CPU Core-HX. Речь о поколении Arrow Lake, которое должно выйти в конце т...

Intel Core i9-14900KS, как сообщается, будет представлен на следующей неделе Как сообщается, компания Intel представит свой новый процессор для настольных ПК сегмента энтузиастов, Core i9-14900KS, 14 марта 2024 года

Представлены процессоры AMD Ryzen Pro 8040 – меньше TDP, но мощнее Core Ultra AMD представила линейку процессоров Ryzen Pro 8040. Это самые передовые процессоры x86, предназначенные для бизнес-ноутбуков и мобильных рабочих станций. Семейство APU AMD Ryzen PRO 8040 «Hawk Point» использует ту же архитектуру ядра процессора Zen 4 и ту же архитектуру…

Death Stranding: Director’s Cut вышла на iPhone и iPad Отличные новости для геймеров, которые предпочитают мобильные платформы и не бояться играть в сложные проекты на своих планшетах или смартфонах. Дело в том, что видеоигра Death Stranding: Director’s Cut, видеоигра от гениального Хидео Кодзимы о курьере, которому поручено дос...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Samsung пообещала функции Galaxy AI на 100 млн устройств в этом году, но ещё не решила, как пользователи будут платить за них с 2025 года Компания Samsung недавно запустила свои новые флагманские смартфоны Galaxy S24. Кроме прочего, они получили функции Galaxy AI на основе искусственного интеллекта. Теперь компания пообещала, что вскоре эти функции будут доступны на 100 млн устройств компании.  Глав...

АвтоВАЗ неудержим. Завод запустит в серию 12 новых моделей Сегодня во время запуска опытного производства Lada e-Largus глава АвтоВАЗа Максим Соколов рассказал о планах по выпуску новинок на ближайшие шесть лет: за это время компания запустит в серийное производство 12 новых моделей! И это должно обеспечить АвтоВАЗу лидерство н...

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

AMD, а кому вообще нужны эти процессоры по таким ценам? На глобальный рынок вышли Ryzen 7 8700F и Ryzen 5 8400F Компания AMD анонсировала настольные процессоры Ryzen 7 8700F и Ryzen 5 8400F для глобального рынка.  Новинки ранее были представлены в Китае, а теперь и за его пределами. За младшую модель просят 170 долларов, а старшую оценили в 270 долларов. Это дешевле, чем пр...

«Яндекс» запустит серийное производство роботов-курьеров Компания «Яндекс» планирует запустить серийное производство роботов-курьеров на замену людям

Российская компания «Катюша» запустила серийное производство принтеров и МФУ В России запустили крупносерийное производство отечественных принтеров и МФУ

Стоит ли в 2024 году покупать смартфоны Samsung на процессорах Exynos Сегодня, когда подавляющее большинство Android-устройств работает на процессорах MediaTek или Qualcomm Snapdragon, а HUAWEI вот уже несколько лет находится под санкциями США, Samsung остается единственным брендом на глобальном рынке, который выпускает смартфоны на собственн...

Российская компания запустила производство зарядных станций для электромобилей Российская компания Sitronics Electro, специализирующаяся на зарядных станциях для электротранспорта, начала серийное производство быстрых зарядных устройств мощностью до 262 кВт. Первые станции eStation Super будут доступны клиентам уже в начале 2025 года.

ТТК запустил личный кабинет абонента в формате приложения Компания ТТК, федеральный оператор связи, запустила мобильный личный кабинет абонента.

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Test Jig. Подготовка к массовому производству По какой-то непонятно причине в ru сегменте довольно мало информации о том, что такое Test Jig и зачем он нужен. Он используется в массовом производстве электронных устройств, даже не содержащих микроконтроллер. Каждая плата, прошедшая сборочный конвейер, должна быть протест...

Характеристики AMD Ryzen 8000G попали в сеть Сегодня характеристики и основные особенности APU (система на кристалле с интегрированной графикой) AMD Ryzen 8000G под кодовым названием Hawk Point утекли в сеть благодаря компании ASRock, которая эту информацию подтвердила. Новые системы на кристалле из семейства Hawk Poin...

Когда тебе принадлежит целая Arm, которая может создать тебе чип для ИИ. Компания разработает для Softbank процессор для искусственного интеллекта Компания Arm известна в первую очередь своей архитектурой и эталонными ядрами CPU и GPU. Теперь же на фоне бума ИИ компания намерена разработать собственный процессор ИИ.  Нужен он не самой Arm, а компании Softbank, которая владеет Arm. Softbank будет использовать...

В России запустят массовое производство электромобилей «Атом» в 2025 году Компания «Камаз» запустит массовое производство электромобилей «Атом» в 2025 году

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Новый мобильный 12-ядерный Ryzen опережает 12-ядерный настольный Ryzen 9 3900X. Появились первые тесты APU Strix Point В Сети появились первые результаты тестирования процессора AMD Ryzen линейки Strix Point. Напомним, это грядущая мобильная линейка условно базовых APU, которые придут на смену Ryzen 8040U/H/HS. К слову, видимо, избавившись от этих самых индексов в конце.  Тестовый...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Нет, Huawei пока даже не собирается догонять конкурентов. Компания сейчас сосредоточена на техпроцессе 7 нм, так как у него ещё много проблем Компания Huawei сейчас имеет доступ к 7-нанометровому техпроцессу SMIC, и считается, что уже в это году SMIC освоит нормы 5 нм. При этом некоторые источники говорят, что и 3 нм не за горами. Однако сама Huawei, похоже, так не считает и говорит, что сейчас для неё новые ...

В России запустили новую линию по производству филамента для 3D-принтеров Новую производственную линию по изготовлению нитей для 3D-принтеров запустили в Самарской области, о говорится в сообщении пресс-службы правительства. «В Безенчукском районе производитель филамента запустил в эксплуатацию новую производственную линию, которая на с...

AMD опередила Intel в гонке ИИ-процессоров для настольных ПК Компания выпустила чипы Ryzen Pro 8000 с нейропроцессорами Ryzen AI.

Nvidia напоминает, что у многих из нас уже давно имеются Premium AI PC. Компания говорит, что GPU намного производительнее NPU в вычислениях ИИ Рынок ПК сейчас активно разворачивается в сторону искусственного интеллекта. Производители активно используют название AI PC, подразумевая под ним компьютеры, которые основаны на процессорах с выделенным блоком NPU. Однако Nvidia решила напомнить, что ИИ изначально опир...

Snapdragon 8 Gen 4 слишком дорогая, а Exynos 2500 «провалилась». Samsung может использовать SoC MediaTek для своих флагманских Galaxy S25 Смартфоны Galaxy S25 оказались в незавидном положении, выходом из которого может стать переход на платформы MediaTek.  Как сообщается, Samsung рассматривает возможность использовать для своих новых флагманов SoC Dimensity, хотя ранние слухи говорили только о Snapd...

Apple Готовит к релизу новые процессоры M4 Если верить поставщикам секретной информации, на текущий момент компания Apple усердно работает над следующим поколением своих процессоров из семейства M4. Вероятно, производитель выпустит сразу три модели процессоров, так что у потенциальных покупателей будет приличный выбо...

Starlink запустит отправку SMS через спутники в 2024 году Компания Starlink, принадлежащая SpaceX, собирается запустить коммерческий спутниковый телефонный сервис Direct to Cell в 2024 году. Пользователям будет доступна передача текстовых сообщений. Голосовые вызовы и передача данных появятся в 2025 году, вместе с подключением устр...

Функцию Recall в Windows 11 удалось запустить на старом ARM-устройстве Официально новая функция Recall в Windows 11 будет доступна только на устройствах с маркировкой Copilot+, которые оснащаются современными процессорами с блоком NPU, например, чипами линейки Snapdragon X. Однако энтузиаст под ником Albacore смог обойти это ограничение и запу...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

MediaTek представит чип Dimensity 9400 с ядром Cortex-X5 Сегодня появилась официальная информация о том, что компания MediaTek представит свой новый флагманский процессор под названием Dimensity 9400 в четвёртом квартале этого года, то есть у компании осталось примерно полгода на то, чтобы показать аудитории новый процессор топов...

Qualcomm уже тестирует процессор Snapdragon 8 Gen 4 Новый мобильный процессор Snapdragon 8 Gen 4, по информации инсайдеров, станет первым процессором от компании Qualcomm, который не будет использовать микроархитектуру процессорных ядер ARM и перейдёт на специальные ядра Oryon, которые внутри компании получили кодовое имя Pho...

Samsung Galaxy S26 может получить 2-нм чип Samsung Tethys Samsung работает над 2-нм техпроцессом, при этом компания хочет первой выпустить такие чипы, превзойдя TSMC. Как пишет gizmochina, компания получила первый заказ на производство 2-нм чипов от японской компании Preferred Networks (PFN). Кроме того, появились сведения, чт...

Обнаружен процессор Intel Lunar Lake с 8 ядрами произведеный по техпроцессу 18A с графическим процессором на базе Battlemage

Процессор Huawei Kirin 9000S отстает от оригинального Kirin 9000 В этом нет ничего удивительно, учитывая использование менее продвинутого 7-нм техпроцесса у нового процессора

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

Как быстро запустить мобильное приложение для веб-сервиса: опыт Авиасейлс для бизнеса Привет! Меня зовут Иван Бойцов, я руковожу сервисом для организации командировок Авиасейлс для бизнеса. Недавно мы запустили MVP-версию мобильного приложения, чтобы проверить, нужно ли оно нам, и если да, — в каком виде. Собрали его быстро и с минимальными затратами. Расскаж...

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Интегрированное графическое ядро Radeon 890M в новых процессорах Ryzen AI 300 быстрее, чем настольная Radeon RX 6400 В Сети появились новые подробности о производительности процессоров Ryzen AI 300.  Известный инсайдер Golden Pig Upgrade поделился результатами тестирования ноутбука MSI на выставке Computex. Ноутбук был основан на Ryzen AI 9 HX 370.  Производительность этого...

«Билайн» развернул VoLTE на всю федеральную сеть по всей России Оператор «ВымпелКом» (торговая марка «Билайн») объявил о запуске технологию VoLTE (Voice over LTE) во всех своих регионах присутствия в России. Сгенерировано нейросетью Dall-E В настоящий момент доля VoLTE в структуре всего голосового трафика (2...

AMD анонсировала десктопные процессоры линейки Ryzen 9000 на архитектуре Zen 5 Помимо мобильных чипов семейства Ryzen AI 300, AMD анонсировала линейку десктопных процессоров Ryzen 9000 на базе архитектуры Zen 5, обеспечивающей прирост производительности на такт (IPC) на 16%. Компания заявляет, что это самые мощные процессоры для настольных ПК. Количес...

AMD готовит серверные процессоры серии EPYC 4004 для Socket AM5 По сравнению с процессорами Ryzen PRO 7000, которые предназначены для коммерческих настольных компьютеров, серия EPYC 4004 будет иметь больше ядер в диапазоне от 6 до 16, основанных на микроархитектуре Zen 4

Процессоры AMD Ryzen 9000 "Zen 5" будут поддерживать память до 6400 МТ/с делителем 1:1 Процессоры AMD Ryzen 9000 "Zen 5" для настольных ПК будут иметь улучшенную поддержку памяти DDR5 и более низкую температуру и энергопотребление по сравнению с предыдущими процессорами Ryzen 7000 "Zen 4"

TSMC приступила к выпуску Intel Core Ultra 200V (Lunar Lake) по 3-нм техпроцессу В составе процессоров Lunar Lake будет iGPU на новой графической архитектуре Xe2-LPG.

iPhone 16 будут оснащены значительно улучшенным нейронным процессором Apple готовится сделать самый существенный рывок во внедрении ИИ в мобильные устройства

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Замечено первое фото нового процессора AMD Ryzen 9000 для настольных ПК Ожидается, что предстоящие процессоры AMD серии Ryzen 9000 для настольных ПК на базе новой микроархитектуры Zen 5 выйдут по графику и будут выпущены не позднее конца года.

И снова придётся привыкать к новому принципу именования CPU Intel. Компания отказывается от моделей P в линейке Meteor Lake Совершенно новые процессоры Intel Meteor Lake будут недорогими? Ноутбук с Core Ultra 7 155H оценили в 1050 долларов, а ведь речь об одном из старших CPU До выхода процессоров Intel Meteor Lake остался месяц. О них известно уже немало, но многое ещё остаётся непрояснённ...

Axion — что нам известно о новом процессоре от Google? Создавать собственные процессоры в последнее время стало модным. Компания Apple со своими чипами серии «М» уже уверенно отвоевала заметный рыночный сегмент, Amazon активно использует в составе платформы AWS собственный процессор Graviton, а Microsoft недавно анонсировала Mi...

Компания XMG не ожидает новых видеокарт NVIDIA GeForce RTX 40 для ноутбуков Компания в курсе слухов о SUPER вариантах для настольных систем, но в мобильном сегменте пока затишье.

Advantech выпустит дискретную Intel Arc A370M Компания превратит мобильную видеокарту в настольную с помощью радиатора и крошечного охлаждающего вентилятора

Российский производитель «Элемент» начнёт выпуск компонентов для базовых станций в 2025 году Российский производитель микроэлектроники «Элемент» в 2025 г. запустит серийное производство радиомодулей и электронной компонентной базы для базовых станций сотовой связи 4G и 5G. Об этом изданию «Ведомости» рассказал источник в одной из компани...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

В мобильные процессоры Intel Lunar Lake-MX встроят память Samsung LPDDR5X Intel готовится к революции в мобильном сегменте процессоров с новым поколением CPU Lunar Lake-MX, которые будут оснащены памятью LPDDR5X от Samsung, упакованной прямо на чип.

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Intel Lunar Lake будет использовать 3-нм техпроцесс TSMC 1024 графических ядра, трассировку лучей и память LPDDR5X-8533

Samsung раскрыла характеристики процессора Exynos 2400 Сегодня компания Samsung Semiconductor провела мероприятие System LSI Tech Day в Калифорнии, где производитель чипов рассказал много интересной информации о грядущем флагманском мобильном процессоре Exynos 2400. Например, Samsung утверждает, что Exynos 2400 предлагает увели...

Выбираешь CPU Intel и автоматом получаешь Samsung. Процессоры Lunar Lake-MX будут оснащаться памятью LPDDR5X производства Samsung Процессоры Intel Lunar Lake будут очередным поколением, которое будет ощутимо отличаться от предшественников. В частности, эти CPU получат собственную оперативную память, которая разместится на единой с CPU подложке. Согласно свежим данным, это будет память LPDDR5X прои...

Qualcomm уже проектирует Snapdragon X Elite Gen 2 Компания Qualcomm и её партнёры из сегмента ноутбуков активно работают над тем, чтобы мобильный процессор Snapdragon X Elite с довольно приличной производительностью появился в портативных компьютерах уже во второй половине 2024 года. Кроме того, уже ходят слухи о том, что в...

Представлена Motorola, которую можно носить на руке На конференции Lenovo по инновациям и технологиям, состоявшейся сегодня рано утром, компания Motorola продемонстрировала новое концептуальное устройство: мобильный телефон с изменяемой формой корпуса и сгибающимся экраном. В этом мобильном телефоне используется дисплей ...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Samsung может переоборудовать фабрику в Техасе для производства чипов по 2-нанометровой технологии Ранее компания планировала запустить на данном предприятии производство 4 нм чипов.

В России запустили производство лазерных станков для печатных плат Московская компания начала выпуск лазеров для производства печатных плат и полупроводников

Оглушительный успех Huawei Mate 60 вынуждает запустить производство Huawei P70 с опережением графика: доля китайских запчастей сильно превысит 90% Согласно последним новостям из цепочки поставок, поскольку линейка Huawei Mate 60 продаётся настолько хорошо, Huawei планирует начать массовое производство серии P70 раньше запланированного. По данным из цепочки поставок, Huawei начала резервировать детали и компоненты ...

Qualcomm представила процессор Snapdragon 6s Gen 3 Сегодня компания Qualcomm тихо и без лишнего шума анонсировала свою новую доступную аппаратную платформу Snapdragon 6s Gen 3, которая будет использоваться для смартфонов начального уровня. Впрочем, такой подход кажется вполне ожидаемым, так как Snapdragon 6s Gen 3 не предста...

Таких процессоров Intel мы в итоге уже не получим. Компания экспериментировала с пятичиплетными CPU Meteor Lake Процессоры Intel Meteor Lake уже вышли. Они имеют до шести больших ядер и восьми малых, если не считать отдельные два ядра в чиплете SoC. Оказалось, что Intel экспериментировала с вариантами Meteor Lake с другой конфигурацией чиплетов и, возможно, большим количеством яд...

В Подмосковье построят еще один крупный завод по производству спецтехники Белорусская компания «Амкодор» запустит производство телескопических погрузчиков и погрузчиков с бортовым поворотом.

AMD представила свой самый крутой мобильный ускоритель – Radeon RX 7900M: 16 ГБ памяти, потребление 200 Вт и производительность выше, чем у GeForce RTX 4080 Laptop Сегодня AMD официально представила мобильный 3D-ускоритель Radeon RX 7900M. Первым ноутбуком с ним станет Dell Alienware m18 R1, причем в этом устройстве и процессор будет супермощный – AMD Ryzen 9 7945HX3D. AMD Radeon RX 7900M устанавливает новый стандарт потреб...

Так может только AMD. Стала известна дата выхода новых процессоров Ryzen 9 5900XT и Ryzen 7 5800XT для платформы AM4 В начале месяца компания AMD представила не только новейшие настольные процессоры Ryzen 9000, но и новые CPU линейки Ryzen 5000. Теперь мы знаем, когда они появятся в продаже.  Ryzen 9 5900XT и Ryzen 7 5800XT выйдут в один день со своими «внуками», то ...

Microsoft, так нужны новые процессоры или нет? Функцию Recall из Windows 11 для Copilot+ PC запустили на процессоре Arm, но это был не Snapdragon X Компания Microsoft уже показала некоторые функции Windows 11, которые представили формально в виде эксклюзивов для компьютеров Copilot+, то есть оснащённых процессорами с блоками NPU. Подробностей о работе этих функций на других CPU не было, но теперь они появились от с...

DigiTimes: Процессоры Intel Lunar Lake начнут использовать встроенную память Samsung LPDDR5X Intel заключила контракт с Samsung на поставку устройств LPDDR5X, которые она будет использовать в качестве встроенной памяти для своих будущих процессоров

Мини-ПК в ноябре 2023 года: 5 моделей на все (почти) случаи жизни Производители ноутбуков и настольных ПК выпускают все новые модели. Не являются исключением и мини-ПК, которых становится все больше. Многие из них весьма производительны, так что такие устройства можно использовать для выполнения достаточно «тяжелых» задач. Другие же подх...

SpaceX готовится запустить прямую связь Starlink с мобильными телефонами в 2024 году Компания SpaceX планирует предоставлять услуги голосовой связи, текстовых сообщений и веб-браузинга напрямую с мобильных устройств.

Стартовало производство отечественных ноутбуков «Аквариус» с высоким разрешением экрана Компания «Аквариус» сообщила о запуске производства улучшенной версии отечественных ноутбуков с высоким разрешением экрана. Ноутбук Aquarius Cmp NS616 разработан в собственном R&D-центре компании, системная плата изготовлена на производстве в Шуе, а сбор...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

Intel выпустила бюджетную альтернативу процессорам Lunar Lake Intel представила свои процессоры Arrow Lake-U с низким энергопотреблением, задуманные как доступная альтернатива чипам Lunar Lake и специально ориентированные на тонкие и легкие ноутбуки. Эти новые процессоры будут расширять ассортимент ноутбуков Intel для различных платфор...

Покупатели всё чаще отдают предпочтение ноутбукам, а не настольным ПК. Опубликовала статистика рынка процессоров за прошлый квартал Аналитики Jon Peddie Research опубликовали отчёт по рынку процессоров по итогам первого квартала.   В годовом выражении поставки клиентских CPU для ПК в целом выросли на внушительные 33%, до 62 млн единиц. Это очень много. В квартальном, правда, просели на 9,4%, н...

AMD приходится сравнивать монструозные 128-ядерные CPU Epyc с 64-ядерными Intel, потому что большего вторая не предлагает. Представлены Epyc поколения Turin За анонсом новых настольных процессоров Ryzen 9000 и мобильных Ryzen AI 300 незаметно прошел анонс новых серверных CPU Epyc поколения Turin. А они выделяются как минимум количеством ядер. Анонс пока предварительный, но процессоры выйдут во втором полугодии. Новое покол...

Nvidia может взяться за рынок портативных игровых консолей Помимо производства специальных графических процессоров для Nintendo Switch 2 компания думает о создании своего портативного устройства

Разработчик процессоров «Эльбрус» учредил новую компанию Разработчик российских процессоров «Эльбрус» АО МЦСТ учредил новую структуру — ООО «Эльбрус», основной вид деятельности которого разработка программного обеспечения». Об этом сообщает издание «Коммерсантъ». Новая компания ...

Концепция донглов в Embedded устройствах В этой статье мы рассмотрим не совсем типичный процесс взаимодействия с устройствами. Сразу замечу, что предлагаемая концепция применима преимущественно к мобильным устройствам, не привязанным к стационарному питанию. В качестве примера реализации мы будем использовать самую...

Google планирует заменить Google Assistant на телефонах Pixel и запустить помощника «Pixie» Благодаря Gemini, среди прочего, он, вероятно, станет намного более мощным и персонализированным, а также его можно будет использовать на устройствах с низким энергопотреблением, таких как Pixel Watch.

GTA V запустили на смартфоне Xiaomi Poco 2021 года выхода В мире мобильных технологий и гейминга произошло знаковое событие: популярная игра Grand Theft Auto V была успешно запущена на смартфоне Xiaomi Poco F3, выпущенном в 2021 году.

В России запустят контрактное производство квантовых процессоров В пресс-службе Министерства науки и высшего образования Российской Федерации сообщили, что МГТУ им. Н. Э. Баумана и ВНИИА им. Н. Л. Духова готовятся к запуску первого в России контрактного производства сверхпроводниковых квантовых процессоров. Это событие станет важным шагом...

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

Обзор Elegoo Phecda - настольный лазерный гравер! Что может быть лучше чем домашний настольный лазерный резак! Все ведь любят лазеры да?С Вами LIDER-3D и это обзор лазерного гравера Elegoo Phecda!Содержание:Сборка и комплектация Характеристики и пример работы Управление, подключение и ПО Видео ИтогГде купить?Визитка LIDER-3...

Анонсированы китайские процессоры Zhaoxin KX-7000: 7 нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Сегодня в Китае состоялась официальная премьера процессоров Zhaoxin KX-7000 для настольных ПК. Эти CPU производятся по техпроцессу 7 нм и выглядят практически в точности как Intel Alder Lake или Raptor Lake. Производитель лишь говорит о «сокете типа LGA», но...

Samsung готовит производство чипов на 1 нм техпроцессе Если верить информации западных инсайдеров, компания Samsung Foundry планирует представить свой передовой технологический процесс в 1 нанометр в следующем месяце с запуском массового производства к 2026 году. Соответственно, пока Intel и TSMC занимались своими собственными т...

На REDMAGIC 9 Pro запустили Red Dead Redemption 2 Процессор Qualcomm Snapdragon 8 Gen 3 способен демонстрировать внушительную производительность — он легко справляется с мобильными играми самого разного типа, выдавая высокую частоту кадров на максимальных настройках графики. Но энтузиасты решили пойти дальше и протестировал...

BusinessKorea: Google больше не будет использовать чипы Samsung для телефонов Pixel Процессоры Tensor больше не будут базироваться на чипах Exynos. Ранее компания Google обнаружила уязвимость в модемах Samsung Exynos, которую используют для получения доступа к устройству.

Вот так AMD поддерживает старые сокеты. Компания выпустила новый процессор Ryzen 7 5700 и готовится выпустить ещё несколько AMD понимает, что длительная поддержка сокетов - её преимущество перед Intel. Платформа AM5 будет поддерживаться до 2025 года и даже после него На сайте AMD без лишнего шума прописался новый процессор: Ryzen 7 5700.   Это восьмиядерный представитель платформы AM4 ...

Новейшие Ryzen 9000 будут без конкурентов около трех месяцев, так как Intel выпустит CPU Arrow Lake-S только в октябре Компания Intel уже представила мобильные процессоры Lunar Lake, которые должны обеспечить революционную для архитектуры x86 энергоэффективность. Теперь появились подробности о настольных Arrow Lake-S, которые придут на смену текущим Core 14-го поколения (Raptor Lake Ref...

Представлен первый ПК на основе процессоров AMD Ryzen 8000. Планшет Minisforum V3 будет очень большим Несмотря на то, что до официального анонса процессоров Ryzen 8000 ещё явно минимум несколько месяцев, компания Minisforum уже представила первый продукт на основе таких CPU.  Речь о планшете, который пока что называется Minisforum V3, но это вряд ли окончательное ...

Китайцы больше не нужны: разработчики процессоров Baikal локализуют важнейший этап производства — корпусировании чипов Разработчик процессоров Baikal компания «Байкал электроникс» расширит эксперимент по корпусированию своих чипов в России. Еще в ноябре 2021 компания начала тестировать этот технологический процесс на мощностях российского холдинга GS Group в Калининградской ...

Как правильно выбрать смартфон на процессоре Snapdragon и не прогадать После прочтения текста о главном обмане процессоров MediaTek у многих людей могло возникнуть впечатление, будто в чипах MTK сложно разобраться, ведь тайваньская фирма то и дело перевыпускает старое железо. Однако путаница возникает и при беглом взгляде на процессоры Snapdra...

Процессоры Intel Meteor Lake получили поддержку технологий vPro На настольной платформе vPro будет внедряться выборочно.

Intel то ли соврала, то ли напутала. Представленные процессоры Core 14-го поколения не имеют поддержки Thunderbolt 5 Компания Intel на днях представила первые процессоры Core 14-го поколения. Эта линейка будет актуальной, как ожидается, около года до момента выхода Arrow Lake. К сожалению, вопреки ожиданиям и первоначальным слухам, новинки Intel не поддерживают интерфейс Thunderbolt 5...

Новая линейка процессоров Intel Core Ultra 200 и материнские платы на чипсете Z890 выйдут в октябре Intel выпустит свои новые мобильные CPU Lunar Lake и настольные Arrow Lake-S этой осенью, вместе с материнскими платами на сокете LGA 1851

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

MSI первой выпустила обновление BIOS AGESA 1.2.0.0 для материнских плат AM5 Компания MSI выпустила обновление BIOS системных плат AM5, чтобы подготовиться к выпуску настольных процессоров AMD Ryzen 9000

В четвертом квартале 2023 года компания Intel достигла значительных успехов в поставках процессоров В четвертом квартале 2023 года компания Intel достигла значительных успехов в поставках процессоров для настольных ПК и ноутбуков, перекрывая показатели конкурентов AMD и Apple

Samsung и ARM начнут совместную работу над созданием следующего поколения процессора Cortex-X Новое решение должно будет помочь с внедрением технологий искусственного интеллекта в мобильные устройства

«Аквариус» запустил производство российских мониторов на своих заводах в Твери и Шуе Мощность завода сегодня составляет 1,5 млн устройств в год. Компания ожидает, что к концу 2025 г. мощности заводов вырастут до 2,5 млн устройств в год..

В сеть попала информация на поставку процессоров AMD Granite Ridge с TDP до 170 Вт В утечке упоминаются процессоры AMD Ryzen Granite Ridge на архитектуре Zen5 для настольных ПК с 6 и 8 ядрами и TDP до 170 Вт

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Представлены мобильные процессоры AMD Ryzen 8040 серии "Hawk Point" с более быстрым NPU Компания AMD представила новую серию мобильных процессоров Ryzen 8040 под кодовым названием "Hawk Point"

Android-смартфон теперь можно использовать как веб-камеру Инсайдеры сегодня поведали о том, что в операционной системе компании Microsoft появилось довольно важное нововведение (пока что только в программе Windows Insider) — возможность использовать ваш смартфон или планшет на базе операционной системы Android в качестве веб-камеры...

Apple Vision Pro использует систему чиплетов для процессора R1 Можно смело заявить, что шлем дополненной реальности Apple Vision Pro — одно из самых сложных технологических устройств на планете. Так что специалистам iFixit пришлось потратить немало времени и сил, чтобы разобрать устройство и изучить материнскую плату, на которой помимо ...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Apple не выпустит Mac mini на процессоре M3 По информации от надежного источника, Apple откажется от выпуска Mac mini на базе процессора M3. Бюджетный настольный ПК перейдет сразу на чип следующего поколения.

Представлен обновленный Raptor Lake Core 14 Intel раскрывает подробности о настольных процессорах Core 14-го поколения и уже сегодня поступили в продажу новейшие процессоры Intel для настольных ПК.

Раскрыты характеристики процессоров Core i3-14100 и Core i5-14400 Кроме того стало известно, что 8 января Intel выпустит процессоры 14-го поколения Core с заблокированным множителем для настольных ПК

Yadro планирует занять 10-15% российского рынка планшетов среднего уровня Компания Yadro планирует занять 10-15% российского рынка планшетов среднего ценового сегмента стоимостью около 40 тыс. рублей. Об этом рассказал генеральный директор компании Дмитрий Черкасов на презентации, посвящённой запуску операционной системы kvadraOS, которая про...

Анонсирован процессор MediaTek Dimensity 9300 для мобильных устройств Dimensity 9300 в некоторых тестах превосходит Snapdragon 8 Gen 3

Новый процессор Intel оказался на 20% быстрее Core i9-14900KS в однопоточном режиме. Предположительно, это всего лишь Core Ultra 5 В Сети появились первые данные о производительности настольного процессора Intel Arrow Lake.  К сожалению, нет никаких данных о том, что именно это за модель или хотя бы сколько у нее ядер. Но и без того информация интересная. К примеру, в CPU-Z однопоточная произ...

Почему IPhone и смартфоны будут становиться все дороже Согласно сообщениям аналитиков International Business Strategies, в ближайшие годы цены на мобильные устройства будут продолжать расти, не в последнюю очередь из-за используемых ими чипов.

Почему IPhone и смартфоны будут становиться все дороже Согласно сообщениям аналитиков International Business Strategies, в ближайшие годы цены на мобильные устройства будут продолжать расти, не в последнюю очередь из-за используемых ими чипов.

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

Samsung Glasses начнут продавать уже в начале 2024 года Сегодня появилась информация от инсайдеров о том, что корейский гигант Samsung планирует назвать свое следующее носимое устройство виртуальной реальности Samsung Glasses. Это название компания официально зарегистрировала на локальном рынке, так что, вероятно, его будут испол...

NVIDIA сообщает о проблеме с процессорами Intel и ссылается на сайт производителя У последнего поколения настольных процессоров Intel есть проблема, о которой пишет даже NVIDIA.

Xiaomi выпустила настольную зарядку с удивительными возможностями Компания Xiaomi анонсировала выпуск нового зарядного устройства в формате GaN — Xiaomi 67W Desktop Fast Charging Socket Pro.

В поселок лесорубов с рыбацким названием Кукан пришел высокоскоростной 4G интернет МегаФона Жители удаленного хабаровского поселка Кукан теперь могут выходить в интернет с мобильных устройств на высоких скоростях. МегаФон построил базовую станцию и впервые запустил в этом населенном пункте мобильную связь четвертого поколения.

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

В России будет запущено производство двигателей для автомобилей Haval Упор будет сделан на выпуск 1.5 и 2-литровых моторах компании

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

Intel, а это действительно должно интересовать потребителей? Компания рассказала о процессорах Lunar Lake, но говорила только о производительности в задачах ИИ На прошедшем сегодня мероприятии Vision 2024 компания Intel не только представила настольные процессоры Core Ultra поколения Meteor Lake, но и раскрыла подробности о Core Ultra 200V поколения Lunar Lake, которые выйдут в конце текущего года.  К сожалению, сегодня ...

На сей раз Apple не хочет долго ждать? Производство складного iPad может начаться уже через год Компания Apple может выйти на рынок мобильных устройств с гибкими экранами уже в следующем году.  создано DALL-E Ресурс DigiTimes, ссылаясь на свои источники в цепочке поставок Apple, говорит, что производство складного планшета iPad может стартовать уже через год...

Стало известно, когда Core i окончательно уступят место Core Ultra. Процессоры Intel Arrow Lake-S ожидаются в третьем квартале Компания MSI фактически подтвердила примерную дату выхода процессоров Intel Arrow Lake для настольных ПК. Они появятся в третьем квартале.  Информация об этом была раскрыта известным оверклокером Toppc из команды MSI. Каких-то особых подробностей, конечно, не было...

Ryzen 7 7700 официально подешевел и стал самым доступным восьмиядерным CPU для AM5 В преддверии старта продаж процессоров Ryzen 9000 компания AMD начала снижать цены на актуальные модели. Теперь пришла очередь Ryzen 7 7700, который теперь стоит 247 долларов.  Как сообщается, это именно официально удешевление. Изначально, напомним, CPU вышел в на...

Vivo X200 может стать первым смартфоном на процессоре MediaTek Dimensity 9400 Компания MediaTek разрабатывает Dimensity 9400 с использованием передового техпроцесса 3-нм второго поколения от TSMC, что должно дать чипсету высокую производительность и энергоэффективность

AMD представила процессоры для промышленного искусственного интеллекта. Это встраиваемые Ryzen Embedded 8000 Компания AMD представила линейку встраиваемых процессоров Ryzen Embedded 8000. Линейка состоит из четырёх моделей, которые технически ничем не отличаются от обычных мобильных APU Ryzen 8000, и даже названия моделей почти идентичны, нет разве что номера семейства. ...

Настольные процессоры Intel Arrow Lake-S будут ощутимо быстрее Raptor Lake-S и менее прожорливы Intel Arrow Lake-S должны дебютировать уже в октябре 2024 года.

Российский «Аквариус» выпустил настольные ПК и моноблоки с процессорами Intel 14-го поколения Российский производитель компьютерной техники «Аквариус» начал продажи новой линейки компьютеров на основе процессоров Intel 14-го поколения. Для заказа уже доступны компактные настольные ПК и моноблоки, в основу которых легли процессоры Intel Core i3-14100 ...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Новейшие Mac на базе Apple M4 получат до 512 ГБ унифицированной памяти. Выход M4 ожидается уже в 2024 году По словам Марка Гурмана из Bloomberg, Apple начнет обновлять свою линейку Mac процессорами M4 в конце 2024 года. Чип M4 будет ориентирован на повышение производительности возможностей искусственного интеллекта. В прошлом году Apple представила чипы M3, M3 Pro и M3 Max в...

«Нет сомнений, что запустим», — Ростелеком собирается внедрить российские базовые станции в 2025 году Оператор Ростелеком планирует начать использование отечественных базовых станций в своей сети в 2025 году, рассказал президент компании Михаил Осеевский на ПМЭФ-2024. По его словам, Ростелеком действует в соответствии со своим планом — в графике стоит 2025 год. &l...

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

Huawei анонсировала настольные компьютеры Qingyun на базе процессора Kirin 9000C Компания представила две модели с различными вариантами конфигурации системной памяти и накопителей

Российская компания Yadro представила свою мобильную платформу kvadraOS Российская компания Yadro представила операционную систему для мобильных устройств kvadraOS. Она ориентирована на мобильные устройства бренда Kvadra — первой такой моделью стал 10,95-дюймовый планшет Kvadra_T, который вышел в продажу в апреле текущего года. Стоимо...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Huawei больше не может покупать чипы Qualcomm и Intel Согласно информации издания Reuters, правительство США отозвало лицензии Qualcomm и Intel на продажу чипов компании Huawei. Это значит, что компания Huawei больше не сможет официально покупать и использовать чипы от Qualcomm или Intel, что, по словам специалистов, практическ...

«Аквариус» запустила серийное производство российских офисных мониторов Системные платы паяют на заводе в Шуе, а собирают устройства в Твери, заявляет компания.

В США запустят массовое производство человекоподобных роботов «общего назначения» В США робототехническая компания Agility Robotics откроет первый в мире завод для массового производства человекоподобных роботов. Предприятие называется RoboFab.

Немецкий производитель электромобилей e.GO объявил о банкротстве Немецкий стартап по производству электромобилей e.GO Mobile объявил о своем банкротстве. Несмотря на первоначальные амбициозные планы, компания не смогла запустить серийное производство рентабельных моделей и в итоге закрывается, оставляя без работы более 200 человек.

Тольяттинская компания запустит производство филаментов из композиционных материалов Резидент технопарка «Жигулевская долина» 3DVolk (ООО «3ДВолк Технологии») вошел в инновационный центр «Сколково» с проектом по разработке технологии производства высокотемпературного полимерного композита для 3D-печати.

Pixel Fold 2 получит совершенно новый процессор Складной смартфон Pixel Fold был первой попыткой компании Google создать устройство с уникальным форм-фактором, но в процессе производитель упустил множество важных деталей, которые сказались на производительности, возможностях нового гаджета и не только. Но теперь инсайдеры...

Google считает веб-рекламу на мобильных устройствах чрезвычайно эффективной Корпорация Google недавно провела исследование, согласно которому реклама, которую демонстрируют пользователю мобильного устройства, который серфит по Сети, является намного более эффективной, нежели обычная контекстная реклама, которую пользователь видит на дисплее ПК. Во...

Honda и General Motors запустили производство топливных элементов на водороде Совместное предприятие японской Honda Motor Co. Ltd и американской General Motors запустило серийное производство водородных топливных элементов. Несмотря на практически полное отсутствие спроса на рынке, компании запустили такое производство на предприятии FCSM в Браунстаун...

В национальное удэгейское село Гвасюги пришел высокоскоростной 4G интернет МегаФона Около 200 жителей удаленного села Гвасюги района имени Лазо Хабаровского края теперь могут выходить в интернет с мобильных устройств на высоких скоростях. МегаФон построил базовую станцию и впервые запустил в этом населенном пункте мобильную связь четвертого поколения.

В России предложили регистрировать мобильные устройства при покупке сим-карт Сенатор Артем Шейкин предложил новую инициативу по борьбе с нелегальным использованием сим-карт в России. По его предложению, пользователи мобильных устройств должны будут регистрировать свои смартфоны у сотовых операторов, предоставляя уникальный серийный номер (IMEI).

AMD разработала новую архитектуру Zen 5 на 3-нм техпроцессе TSMC AMD готовится к запуску своей новой архитектуры "Zen 5" на 3-нм техпроцессе TSMC, разрабатываемой под кодовым названием "Nirvana". Эта разработка, сфокусированная на усилении развития искусственного интеллекта в терминалах, охватывает области, такие как настольные компьютеры...

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

Qualcomm готовится к выпуску нового флагманского мобильного процессора Snapdragon 8 Gen 4 Процессор Qualcomm Snapdragon 8 Gen 4 станет одним из самых мощных мобильных смартфонов в мире.

Мобильный процессор AMD "Kraken Point" имеет ядро Zen 5 и конфигурацию 4P+4C В дополнение к "Fire Range", "Kraken Point" станет следующим монолитным мобильным процессором Ryzen с улучшенным 4-нм EUV узлом TSMC

12-ядерный мобильный инженерник AMD Strix Point обошёл настольные 8-ядерные Ryzen 7000 в Blender С небольшим преимуществом, но для мобильного чипа достойный результат.

Насколько действительно хороши процессоры Google. Тестирование в разных режимах Максимальная пиковая производительность процессора — важнейший параметр, который необходимо учитывать при сравнении различных моделей. Конечно, если для вас важен этот параметр, а не общее впечатление от устройства. Однако это не единственное число, которое имеет знач...

Процессор Snapdragon X Elite смог запустить Baldur's Gate 3 с 30 fps в разрешении 1080p Компания Qualcomm продемонстрировала процессор Snapdragon X Elite с графическим процессором Adreno, который способен запускать игру Baldur's Gate 3 со скоростью около 30 кадров в секунду при разрешении 1080p

Процессоры Intel Arrow Lake могут лишиться технологии Hyper-Threading Инсайдеры сообщают, что будущие процессоры Intel из серии Arrow Lake для настольных моделей будут лишены технологии Hyper-Threading

Инсайдеры обнародовали список настольных процессоров Intel Core Ultra 200 семейства Arrow Lake-S Новая линейка будет насчитывать как минимум 13 моделей.

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

GameSir представила мобильный игровой контроллер X2s Type-C Компания GameSir представила новый мобильный игровой контроллер X2s Type-C, который обеспечивает максимальную точность и аккуратность при игре на мобильных устройствах

Процессор A17 Pro дороже A16 Bionic и дешевле Snapdragon 8 Gen 2 Сколько стоят чипы современных мобильных устройств

Apple просит использовать с ее устройствами только сертифицированные аксессуары Компания Apple в очередной раз предупреждает о том, что использование несертифицированных зарядных устройств и кабелей может быть опасным.

«Норникель» запустит высокотехнологичное производство «Норильский никель» анонсировал создание нового производства на базе Медного завода в Норильске, где планируется внедрить передовые технологии, включая 3D-печать. Старший вице-президент компании Лариса Зелькова уточнила, что медный завод будет модернизирован для развития сов...

Snapdragon 8 Gen 5 будет дешевле Snapdragon 8 Gen 4? Qualcomm хочет вернуться к Samsung, как второму производителю SoC Однокристальная система Snapdragon 8 Gen 4 еще не была представлена, а в Сети появились новости о Snapdragon 8 Gen 5. Возможно, она будет дешевле Gen 4.  Суть в том, что высокая цена грядущей платформы обусловлена не только лишь какими-то желаниями Qualcomm. Важна...

Больше не слухи: флагманский AMD Ryzen 9 9950X действительно заметно дешевле Ryzen 9 7950X На прошлой неделе появились данные о том, что процессоры AMD Ryzen 9000X vмогут оказаться дешевле процессоров Ryzen 7000X, и сейчас эти данные подтвердились. Канадский ретейлер Canada Computers добавил в свой каталог флагманский CPU Ryzen 9 9950X c ценой 839 канадских ...

Microsoft запустила сайт для проверки игр на ARM-процессорах Snapdragon X Microsoft и Qualcomm запустили сайт WorksOnWoA.com для проверки совместимости Windows-игр с ARM-процессорами Snapdragon X.

Процессоры Intel Xeon Cascade Lake снимают с производства Компания Intel объявила о снятии с производства процессоров Xeon Scalable (Cascade Lake) второго поколения

Первая в мире система охлаждения для нового формата оперативной памяти CAMM2. MSI показала водоблок для таких модулей Компания MSI на Computex 2024 показала, похоже, первую систему охлаждения для модулей оперативной памяти CAMM2.  При этом это сразу не воздушное, а жидкостное охлаждение. Собственно, новинка MSI представляет собой водоблок для модуля ОЗУ.   Первый в мире &qu...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

В Москве запустят производство отечественных биопрепаратов В пресс-службе Московского комплекса экономической политики и имущественно-земельных отношений сообщили, что фармацевтическая компания "Артселленс" стала резидентом особой экономической зоны (ОЭЗ) "Технополис Москва" и готовится запустить производство отечественных биопрепар...

Графический процессор Arc B770 возможно будет иметь 8192 ядра Внутренние документы, предоставленные Moore’s Law is Dead, указывают на то, что видеокарты Battlemage следующего поколения будут ограничены настольными компьютерами и рабочими станциями.

Qualcomm готовит к релизу процессор Snapdragon 8s Gen 3 Сегодня появилась информация о том, что компания Qualcomm планирует расширить свой арсенал процессоров для мобильной платформы за счёт чипа Snapdragon 8s Gen 3, который, судя по различным источникам, будет представлен в самое ближайшее время. Более того, в китайских социальн...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Увлечение искусственным интеллектом усиливает нехватку памяти HBM: SK Hynix распродана на 2024 год Спрос на высокопроизводительные процессоры искусственного интеллекта, такие как GH200 и конкурирующий процессор AMD Instinct MI300, который также использует HBM, настолько высок, что производитель памяти SK hynix полностью распределил ожидаемое производство HBM на весь 2024 ...

HP начала производство доступных хромбуков в Индии Американская компания Google совместно с Hewlett Packard заключили партнерство, в рамках которой в Индии началось производство хромбуков. Это партнерство направлено на достижение цели по улучшению обеспечения органов образования, школ и учреждений экономически эффективн...

Через пять лет 50% ПК будут работать на ARM-процессорах В интервью Reuters генеральный директор ARM Holdings Рене Хаас сделал заявление относительно будущей доли компании на рынке. По его словам, к 2029 году более 50% пользователей ПК с Windows будут использовать чипы на базе ARM вместо процессоров x86. Стоит отметить, что…

Мини-ПК Hiper ExpertBox D20 на процессоре Intel Core i5-1240P: типичное семейство рабочих лошадок — скромных, но очень востребованных Hiper ExpertBox D20 — массовый мини-ПК, использующий массовую же ноутбучную платформу, которая отлично упаковывается в компактный настольный корпус и никаких трудностей владельцу не создает. Купил, установил необходимое ПО (включая, возможно, и операционную систему), а дальш...

AMD наконец-то даст бой Nvidia в сегменте мощных мобильных видеокарт. К выходу готовится Radeon RX 7900M В текущем поколении у AMD пока нет высокопроизводительных мобильных видеокарт. Но вскоре они могут появиться.  Сейчас лучшее, что AMD предлагает в рамках линейки Radeon RX 7000M, — это карты на основе Navi 33 с 2048 потоковыми процессорами. Но сообщается, чт...

AMD FSR наконец-то станет похожа на Nvidia DLSS? Компания заявила, что будет использовать искусственный интеллект в этой сфере Похоже, технология AMD FSR в будущем станет больше похожа на DLSS, что должно сделать её более конкурентной.  AMD сообщила, что активно внедряет искусственный интеллект во все свои продукты, отметив, что ИИ позволит масштабировать производительность игровых решени...

16 ядер в формате Mini-ITX и всего за 520 долларов. Плата Minisforum BD790i поступила в продажу Компания Minisforum начала продажи системных плат BD770i и BD790i с предустановленными CPU и системами охлаждения.  Новинка интересна тем, что предлагает формально мобильный процессор для создания настольного ПК, правда, сам этот мобильный процессор фактически явл...

Lenovo решила занять рынок ПК на базе Android, начиная с моноблока с Core i9 Lenovo совместно с компанией Esper представила переосмысление ThinkCentre M70a, который будет представлять из себя моноблок под управлением Android. В отличие от распространенного использования Android в портативных устройствах, Lenovo использует другой подход, применяя его ...

Монитор Eazeye Radiant экономит энергию, используя солнце в качестве подсветки Год назад юноша Ли Хуанг запустил стартап Eazeye для сбора средств на производство монитора нового типа. Теперь доработанная новинка под названием Radiant готова к выходу на рынок. Монитор совместим со стандартом VESA и использует его систему крепления для установки.

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

AMD планирует выпустить настольные процессоры Ryzen 9000 "Granite Ridge" в мае-июне 2024 года Пока неясно, будет ли AMD выпускать новые чипсеты для материнских плат Socket AM5

Процессоры AMD Ryzen 9000 для настольных ПК выпустят в 16, 12, 8 и 6-ядерном исполнении в июле AMD Ryzen 9000 будут основаны на новейших ядрах Zen 5 и придут на замену Ryzen 7000.

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

AyaNeo запустила продажи консоли-слайдера на базе Ryzen 7 по цене игрового ноутбука Она получила сравнительно небольшой дисплей и оснащена мобильным процессором AMD Ryzen 7 7840U с производительной «встройкой» AMD Radeon 780M

В Подмосковье появится производство промышленных роботов Планы запустить производство промышленных роботов в Подмосковье к концу 2024 года реализует компания «СМТ», которая стала официальным представителем китайского производителя CRP. Соглашение о запуске производства было подписано на Петербургском международном экономическом фо...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

В Индии остановили производство iPhone из-за погодных условий Производство устройств Apple в Индии стало продолжением стратегии компании по внедрению производства за пределами Китая

"Росэлектроника" открывает новую страницу в производстве управляющих модулей для беспилотников Компания "Росэлектроника" запустила серийное производство модулей управления для беспилотников, включая навигационные модули и контроллеры двигателя, совместимые с множеством протоколов передачи данных.

Веся линейка смартфонов Galaxy S25 может получить чипсеты Exynos 2500 Samsung намерена использовать чипы Exynos во всей линейке Galaxy S25, что представляет собой стратегический шаг компании в сторону усиления своих позиций на рынке мобильных процессоров

Core i9-14900HX – самый мощный мобильный процессор по данным Geekbench Процессор Intel Core i9-14900HX, который, вероятно, будет флагманом нового поколения, засветился в Geekbench, где показал лучший результат среди всех мобильных x86-совместимых процессоров.  CPU набрал 2998 и 17 937 баллов в однопоточном и многопоточном режимах. До...

Таким необычным образом можно собрать настольный ПК с поддержкой PCIe 5.0. Представлена системная плата Minisforum BD770i с APU Ryzen 7 7745HX Компания Minisforum теперь занимается не только мини-ПК. Новый продукт производителя под названием BD770i представляет собой системную плату, которая позволяет собрать настольный ПК на мобильном процессоре.  В данном случае на плате распаян Ryzen 7 7745HX с восемь...

Представлен ноутбук с Windows и Android. Он получил одновременно Intel Core Ultra 7 и Snapdragon 8 Plus Gen 1, а также отдельные наборы памяти Компания Lenovo представила устройство «два в одном», которое работают как на Windows, так и на Android. Новинка получила название Lenovo ThinkBook Plus Gen 5 Hybrid. Новое гибридное устройство Lenovo может переключаться между двумя операционными систе...

Huawei разрабатывает новый флагманский процессор Сегодня появилась достаточно интересная информация о том, что компания Huawei, бывший китайский гигант рынка смартфонов, активно разрабатывает флагманский процессор серии Kirin для своего грядущего гаджета. Здесь хотелось бы напомнить, что производитель выпустил процессор Ki...

AMD не собирается ослаблять давление на Intel. Доля компании на рынке процессоров за год сильно выросла Компания AMD существенно нарастила долю на процессорном рынке, согласно свежей статистике Mercury Research.  На данный момент AMD занимает уже 19,4% всего рынка CPU. Год назад доля компании составляла 15%, а квартал назад – 17,3%, то есть рост весьма существ...

Забудьте об Intel и AMD: для работы новой функции AI Explorer в Windows 24H2 нужна будет только SoC Snapdragon X Elite Уже в ближайшие месяцы на рынки выйдут первые ноутбуки с SoC Snapdragon X Elite, а заодно и с Windows 11 24H2. И похоже, что покупатели таких мобильных ПК получат преимущество над остальными, так как одна из новых важных функций Windows 11 будет работать только на SoC S...

Представлен мощный мини-ПК Gigabyte Metal Gear Plus ITX Компания Gigabyte представила новый мини-ПК Metal Gear Plus ITX, оснащенный настольными процессором серии AMD Ryzen 8000G.

Представлен обновленный настольный ПК Corsair ONE i500 с деревянной передней панелью Компания Corsair выпустила обновленную версию своего настольного ПК Corsair ONE i500.

Honda и General Motors запустят в Японии беспилотное такси Компания Honda Motor объявила, что планирует запустить в Японии сервис беспилотных такси вместе с Cruise и General Motors (GM). Совместное предприятие будет создано партнёрами в 2024 году, а сама служба такси без водителя должна заработать в 2026 году. Сначала беспилотн...

Вся наша жизнь – игра: или как прижилась геймификация внутри мобильного приложения в ритейле Здравствуйте, меня зовут Амалия, я специалист по обеспечению качества в команде мобильного приложения «Пятёрочка». Хочу начать с небольшой истории. В школьные годы я любила онлайн-игру в жанре хоррор Requiem Online. В ней была пара игроков, которые участвовали в закрытом тес...

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

Процессоры Intel Arrow Lake ещё не представили, а китайцы уже продают такие, причём всего за 14 долларов. Поставщик Xianyu раздобыл старые инженерные образцы Китайский поставщик Xianyu неожиданно начал продавать неаносированные процессоры Intel Arrow Lake. Причём буквально за копейки.  Предположительно, это старые инженерные образцы, которые должны были быть утилизированы. Как они достались Xianyu, неясно, но особого зн...

Apple снижает заказы процессоров из-за низкого спроса Согласно последнему отчёту известного аналитика мобильного рынка Минг-Чи Куо, Apple снижает заказы на производство чипов по технологическому процессу в 3 нанометра примерно на треть. Более того, компания Qualcomm также уменьшает объёмы производства и в конечном итоге объёмы ...

Grand Theft Auto IV запустили на Snapdragon 8 Gen 2 через Termux-Box Grand Theft Auto IV — крепкий орешек в мире эмуляции на Android. Поэтому многие энтузиасты ранее пытались создать мобильный порт GTA IV, но результат был далёк от оригинала. У Ютубера Mark Korolev получилось невозможное — он запустил GTA IV на смартфоне со Snapdragon 8 Gen ...

УАЗ «Патриот», а также другие автомобили УАЗа, получат полностью отечественный руль, подушки безопасности и ремни безопасности Губернатор Ульяновской области Алексей Русских рассказал о проекте компании «Соллерс» по производству отечественных систем пассивной безопасности. Изображение: УАЗ «С компанией "Соллерс" сегодня подписали соглашение о новом проекте, инвестиц...

Airbus запустил в космос устройство «уборки» космического мусора В рамках решения проблемы космического мусора компания Airbus запустила устройство магнитного демпфирования «Detumbler», предназначенное для предотвращения бесцельного падения отработавших свой срок спутников на орбите Земли.

Google запустила инструмент для восстановления пропавших файлов в хранилище Google Drive (Google Диск), но гарантий нет Компания Google, судя по всему, устранила проблему, из-за которой в ноябре пользователи облачного хранилища Google Drive (Google Диск) столкнулись с проблемами исчезновения файлов.   Google рекомендует обновить приложение Drive для настольных компьютеров до п...

Дизайн Intel Lunar Lake раскрыт, GPU обновлен и добавлен в линейку мобильных устройств Процессор Intel с архитектурой Lunar Lake выйдет на рынок в 2024 году

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)