Социальные сети Рунета
Вторник, 2 июля 2024

Samsung ускорит внедрение подачи питания с оборотной стороны кристалла чипа Технология может найти применение уже в рамках 2-нм техпроцесса со следующего года.

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

В России надеются освоить производство 28-нм чипов к 2027 году В России существует надежда на развитие производства микропроцессоров с технологической нормой 28 нм на 300-миллиметровых кремниевых пластинах к 2027 году, согласно информации представленной замглавой Минпромторга Василием Шпаком в рамках форума "Микроэлектроника-2023".

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Samsung может внедрить технологию обратной подачи питания в техпроцесс 2-нм класса Это сделает техпроцесс значительно более конкурентоспособным, по сравнению с технологиями Intel 20A и 18A.

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

TSMC уже работает с поставщиками оборудования над развитием прямоугольных кремниевых пластин Сообщается, что процесс перехода на новый стандарт может занять немало лет.

VIS готовится потратить $2 млрд на строительство нового предприятия в Сингапуре Оно впервые в истории компании будет работать с кремниевыми пластинами типоразмера 300 мм.

Cerebras представила новый ИИ-чип CS-3 размером с пластину с 900 000 ядрами и 4 трлн транзисторов Вместо того, чтобы разрезать кремниевую пластину на отдельные микросхемы, компания Cerebras использует ее целиком для создания огромного чипа.

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Спикер Госдумы РФ Вячеслав Володин запустил опрос по поводу оборотных штрафов за утечки данных Подавляющее большинство граждан за оборотные штрафы

Их почти нет: Samsung Galaxy S24 Ultra получит тончайшие и одинаковые со всех сторон рамки вокруг дисплея Samsung готовит к выпуску новый флагман — Galaxy S24 Ultra. Новинка обещает стать близкой к эталону дизайна среди смартфонов.

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Samsung хотела бы внедрить 1-нм техпроцесс в 2026 году Чтобы хоть в чём-то опередить TSMC и Intel.

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Китай собрался выпускать 1600-ядерные чипы размером с целую пластину Нарезать отдельные чипы из пластин больше не потребуется

Компания Alphacool представила новую пластину Apex Distro Plate Y60 для корпуса HYTE Y60 Пластина обеспечивает оптическое обновление и имеет премиум-классные материалы

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

TSMC анонсировала 1,6-нм техпроцесс с обратной подачей питания Продукты на его основе ожидают в 2027 году.

Правительство РФ одобрило компенсации гражданам в случае утечки их персональных данных Власти полностью поддержали инициативу Минцифры о компенсации пострадавшим от утечек конфиденциальных данных в рамках закона об оборотных штрафах.

Серверный процессор Loongson LS3C6000 близок к завершению разработки Loongson, как сообщается, внедрила свою технологию межсоединений Dragon Chain в поколение 3C6000

В России научились производить алмазные пластины для электроники Российские учёные разработали технологию производства алмазных пластин для электроники

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Российские инженеры создали новую сверхдешёвую технологию производства пластин для выпуска чипов Не исключено, что помешать реализации планов может помешать эмбарго галлия со стороны Китая

Генеральный директор Intel считает, что узел 18A опережает 2-нм узел TSMC Сообщается, что технологический узел Intel 18A превосходит техпроцесс TSMC 2-нм по производительности благодаря улучшенной задней подаче питания и использованию кремния

GSCF запустила сервис «Оборотный капитал как услуга» Компания GSCF, ведущий мировой поставщик решений для управления оборотным капиталом, представила первое в отрасли решение «Оборотный капитал как услуга» (Working Capital as a Service, WCaaS). Это инновационное предложение позволяет компаниям оптимизировать управление ликвидн...

Чипсет Snapdragon 8 Gen 4 с кремниевыми батареями и LPDDR5T сделают будущие смартфоны дороже По слухам, флагманские смартфоны на базе нового чипсета Qualcomm Snapdragon 8 Gen 4 получат ряд технологических новинок, включая кремниевые аккумуляторы и поддержку сверхбыстрой оперативной памяти LPDDR5T. Но эти инновации приведут к значительному увеличению стоимости устрой...

Смартфоны с Snapdragon 8 Gen 4 получат кремниевые аккумуляторы и оперативную память LPDDR5T Флагманы Snapdragon 8 Gen 4 с топовыми характеристиками, по слухам, будут поставляться с кремниевыми батареями и с поддержкой оперативной памяти LPDDR5T, что приведет к росту цен.

Чипы-гиганты площадью почти 7000 кв.мм с потреблением в несколько киловатт. Новая версия технологии CoWoS позволит создавать такое к 2027 году Компания TSMC уже через несколько лет сможет выпускать гигантские чипы, которые будут превосходить текущих рекордсменов более чем вдвое.  фото: AMD Новая версия технологии упаковки CoWoS позволит TSMC уже через два-три года выпускать чипы с корпусами размером 120 ...

Минцифры: в правительстве России одобрили компенсации пострадавшим от утечек Правительство поддержало инициативу о компенсации пострадавшим от утечек персональных данных в рамках закона об оборотных штрафах. Об этом рассказала пресс-служба Министерства цифрового развития, связи и массовых коммуникаций Российской Федерации (Минцифры России). ...

Новая горячая достопримечательность: экзопланета HD 63433 d размером с Землю и с полушарием из лавы В рамках исследования уже знакомой планетарной системы HD 63433 с двумя известными экзопланетами астрономы заметили новый небольшой объект, проходящий на фоне звезды размером с Солнце. Это оказалась новая экзопланета HD 63433 d: очень горячая и размером с Землю. Открыти...

GIGABYTE внедрила в бета-BIOS плат Intel Z790 и B760 профиль со стандартными параметрами питания ЦП Профили для стабильной работы систем.

Сушилка филамента (мой вариант) Около года назад, на общем психозе "весь пластик надо сушить" (было много вопросов по поводу низкого качества печати из-за сырого пластика), решил собрать себе сушилку (все сушат пластик, а я что, рыжий?). Сперва хотел сделать компактный вариант, с вертикальной установкой ка...

300-миллиметровые полупроводниковые пластины, появившиеся почти 25 лет назад, наконец-то будут заменены? TSMC рассматривает переход на квадратные пластины На сегодняшний день в производстве полупроводников по современным техпроцессам используются привычные круглые 300-миллиметровые пластины, появившиеся почти четверть века назад. Разговоры о переходе на 450-миллиметровые пластины ходят давно, но, возможно, этого так и не ...

Радиусомер (R25-R50) Диапазон измерения стареньких (ГОСТовских) радиусомеров, вот такого типакоторыми я пользуюсь по работе - от 1 мм до 25 мм, но мне часто бывает необходимо замерять скругления несколько большего радиуса. Поэтому я спроектировал радиусомер с диапазоном измерения от 25 мм до 50 ...

Великобритания создает миниатюрный детектор света для уменьшения размеров квантовых компьютеров В рамках поиска путей к созданию высокопроизводительных квантовых компьютеров и квантовой связи, в Университете Бристоля разработали самый маленький в мире квантовый детектор света на кремниевом чипе.

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

В России планируют освоить производство 28-нм чипов Министерство промышленности и торговли Российской Федерации планирует, что к 2027 году будет освоено производство 28-нм чипов на кремниевых пластинах. Говорится в «дорожной карте» развития индустрии, которую представил замглавы Министерства промышленности Василий Шпак в ра...

Разъёмы RTX 4090 и блока питания расплавились даже при 75% нагрузки Проблема в очередной раз всплыла на форуме Quasar Zone. Появилось фото, на котором изображён 16-пиновый коннектор, который уже привычно оплавился. Но в этот раз ситуация ещё более печальная, потому что оплавление произошло не только со стороны видеокарты, но и со стороны б...

Владелец Tinder отказался от иска к Google из-за навязывания её платёжной системы Стороны урегулировали конфликт: к марту 2024 года Match Group сможет внедрить механизм оплаты сторонними способами.

Redmi K80 Pro получит металлическую рамку Авторитетный информатор Digital Chat Station поделился новыми подробностями о смартфонах Redmi K80 и Redmi K80 Pro, релиз которых ожидается в конце этого года. Утверждается, что новинки будут построены на топовой однокристальной системе Qualcomm Snapdragon 8 Gen 3 или на Sna...

Венчурные инвесторы устали от главы OpenAI: визионер или «хайпожор»? «Любимчик» Кремниевой долины Сэм Альтман, генеральный директор OpenAI, столкнулся с волной критики со стороны представителей технологической индустрии, говорится в новом отчете Insider. Альтман, которого когда-то почитали за его амбициозное стремление к созданию искусственно...

Столкновение венчурных капиталов: Кремниевая долина воюет за будущее ИИ В Кремниевой долине вырисовываются линии сражения: венчурные капиталисты (ВК) сцепили рога в споре о траектории развития искусственного интеллекта (ИИ). Суть вопроса заключается в различных мнениях о том, как должно происходить развитие ИИ. В то время как одни венчурные инве...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Оборотное зелье. Как pluggable transports помогает, когда VPN бессилен

ПМЭФ 2024: «Швабе» внедрит интеллектуальную систему видеонаблюдения в Магадане Стороны подтвердили свою готовность продолжить реализацию социально значимых проектов, направленных на улучшение жизни в регионе.

LONGi установила новый мировой рекорд эффективности кремниевых солнечных элементов     Компания LONGi Green Energy Technology Co. объявила, что 7 мая она побила свой собственный предыдущий мировой рекорд эффективности кремниевых солнечных элементов, который продержался всего 4 месяца. Германским Институтом исследований солнечной энергии в Хамельне (ISFH...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Плата MSI Z790 Project Zero появилась в продаже Компания MSI выпустила в китайскую продажу флагманскую материнскую плату Z790 Project Zero, которая была представлена еще в начале года в рамках выставки CES 2024. Новинка характеризуется выведенными на обратную сторону разъемами, 6-слойной печатной платой, подсистемой питан...

Сбер внедрит свою нейросеть в автомобили россиян Сбер планирует внедрить нейросеть GigaChat в российские автомобили на этапе производства

Intel хвалит свои техпроцессы, но новые CPU Lunar Lake будет полностью производить на мощностях TSMC Компания Intel раскрыла некоторые подробности о малых ядрах процессоров Lunar Lake.  Lunar Lake ожидаются ближе к концу года. Эти процессоры с самого начала создавались с упором на максимальную энергоэффективность, поэтому малым ядрам тут уделено много внимания.&n...

Первое в Росссии контрактное производство сверхпроводниковых квантовых процессоров запустит Бауманка МГТУ им. Н. Э. Баумана и ФГУП «ВНИИА им. Н. Л. Духова» анонсировали открытие первого в России контрактного производства сверхпроводниковых квантовых процессоров на 100-мм пластинах. Производство будет располагаться в новом кампусе МГТУ им. Баумана ...

Corsair представила белые блоки питания RMx SHIFT мощностью до 1200 Вт Особенностью блоков питания является расположение разъемов питания на боковой стороне

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Apple внедрит новейший искусственный интеллект в iOS 18 в 2024 году Популярный аналитик Джефф Пу рассказал, что Apple планирует внедрить технологию генеративного ИИ в свои устройства iPhone и iPad к концу 2024 года.

Samsung зарегистрировала торговую марку AI Phone Вчера от западных журналистов появилась информация о том, что компания Samsung подала патент не только на название Samsung Glasses для нового шлема виртуальной реальности, но и на названия AI Phone и AI Smartphone. Вероятно, дело в том, что корейский гигант планирует внедрит...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Нейрочипы, переливание крови и тестостероновые вечеринки. Как и зачем миллиардеры пытаются обмануть природу Кремниевая долина всегда была местом эксцентричных инноваций, а с приходом тренда на кустарную биологию количество стартапов в области здоровья возросло в несколько раз. Биохакинг за 20 лет прошёл путь от полезных и бесполезных пищевых добавок до вживления подкожных чипов, к...

Нет, Huawei пока даже не собирается догонять конкурентов. Компания сейчас сосредоточена на техпроцессе 7 нм, так как у него ещё много проблем Компания Huawei сейчас имеет доступ к 7-нанометровому техпроцессу SMIC, и считается, что уже в это году SMIC освоит нормы 5 нм. При этом некоторые источники говорят, что и 3 нм не за горами. Однако сама Huawei, похоже, так не считает и говорит, что сейчас для неё новые ...

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

Китайская компания SMIC готовится к переходу на 3-нм техпроцесс Китайский производитель полупроводников SMIC, как сообщается, создает команду для перехода на 3-нм техпроцесс. Однако неясно, как SMIC и Китай получат необходимое оборудование в условиях ограничений со стороны США.

TESCREAL — новая идеология Кремниевой долины. Что это такое и почему она уже всех достала В 2023 году в медиа стало все чаще звучать понятие TESCREAL — его называют идеологией современного технологического капитализма. Ее главная идея в том, что благополучие современного мира — это не цель, к которой должно стремиться, а средство для достижения блага для будущих ...

Компания Kings 3D продемонстрировала новые промышленные 3D-принтеры Новинки включают аддитивное оборудование по технологиям селективного лазерного сплавления металлопорошковых композиций (SLM), струйно-порошковой печати металлами (Binder Jetting), скоростной лазерной стереолитографии (HSLA) и прямого подвода энергии и материалов (DED).

Meltio выпустила DED 3D-принтер M600 с синими лазерами Испанская компания Meltio выпустила новую, более крупноформатную и более эффективную аддитивную систему по технологии 3D-печати металлами методом прямого подвода энергии и материалов (DED). В случае с 3D-принтерами под брендом Meltio речь идет о лазерном наплавлении металлич...

Whoosh внедрил технологию, предотвращающую езду на электросамокатах вдвоем Whoosh внедрила технологию «Антитандем», предотвращающую езду на электросамокатах вдвоем, на всех своих самокатах в России, Беларуси и Казахстане. Эта новшество, которая ранее проходила тестирование в Санкт-Петербурге, теперь доступна для всех городов, где работает сервис.

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Inter(World)View: взаимное обучение цивилизаций — в поисках ВХУТЕМАС и Эйзенштейна В рамках подготовки к основному академическому мероприятию в честь 95 годовщины Китайской академии искусств (CAA), Inter(World)View2023: взаимное обучение цивилизаций, 12 команд из CAA отправились в путешествие по миру в поисках истории цивилизации и антропологического значе...

ООН: человечество в год выбрасывает в мусор продуктов питания на $1 трлн, а 720 млн людей голодают Решения проблемы нет, несмотря на усилия со стороны учёных и общественных деятелей

Samsung разрабатывает технологию питания чипа на обратной стороне (BSPDN) BSPDN - под подачей питания на обратной стороне понимается метод прокладки линий электропитания на задней стороне полупроводникового чипа или интегральной схемы

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Агротехдрон за 10 миллионов рублей: что он умеет? Редкий случай – дрон с четкими техническими характеристиками и, что более важно, понятной стоимостью. VTOL – это агротехдрон, разработанный то ли совместно, то ли в рамках близкого сотрудничества «Университета Иннополис» и «Лазаревское ТЕХ», подразделением агрохолдинга «Лаза...

Apple представила чипы M3, M3 Pro и M3 Max В рамках октябрьской презентации компания Apple представила свои новые чипы — M3, M3 Pro и M3 Max. Новинки выполнены по 3-нм техпроцессу и по ряду характеристик заметно превосходят предшественников.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также мо...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Рендер Sony Xperia 1 VI указывает на тот же дизайн, что и у его предшественника Sony Xperia 1 VI сохранит плоские рамки с элементами управления на правой стороне, включая сканер отпечатков пальцев на кнопке питания

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Telegram не удалил свыше 120 тысяч материалов по требованию Роскомнадзора — перспективы оборотного штрафы более чем реальны Уже стандартная практика для ведомства.

Telegram не удалил свыше 120 тысяч материалов по требованию Роскомнадзора — перспективы оборотного штрафа более чем реальны Уже стандартная практика для ведомства.

Google получила 4,6 миллиарда рублей штрафа за повторный отказ удалить запрещённую в России информацию Это уже третий оборотный штраф.

В России собираются наладить серийное производство 65-нм процессоров к 2028 году Власти России утвердили план по развитию электроники и микроэлектроники до 2030 года: в нём прописано, что запуск серийного производство микросхем с топологией 65 нм должен начаться к 2028 году, о чем пишет «Коммерсантъ» со ссылкой на источники, близкие к пр...

Ностальгия по «Сумеркам». 7 истин, благодаря которым сага остаётся в сердечках зрителей 15 лет спустя Рассказываем, что же такого есть в истории про вампиров и оборотней и почему Белла Свон — символ girl power.

На заводе цифровых телесистем под Калининградом внедрят искусственный интеллект Завод цифровых телесистем под Калининградом к концу 2024 года внедрит искусственный интеллект в свою работу, что может значительно повысить производительность и качество выпускаемых продуктов.

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Colorful представила белую материнскую плату iGame B760M ULTRA Z D5 с разъёмами на обратной стороне Плата предлагает продвинутую подсистему питания с крупными радиаторами, скоростные USB.

По традиции «Вояджера»: NASA установил на космическом аппарате Europa Clipper памятную металлическую пластинку Следуя традиции, которая заключается в отправке вдохновляющих посланий в космос, NASA планирует осуществить это и на космическом аппарате Europa Clipper, который в этом году отправится к спутнику Юпитера — Европе. Этот спутник считается одним из самых перспективны...

«Аэрофлот» внедрит оплату билетов по биометрии в своих точках продаж На конференции «Цифровая индустрия промышленной России» заместитель генерального директора «Аэрофлота» Антон Мацкевич объявил о планах компании внедрить оплату покупки авиабилетов по биометрии в их собственных точках продаж.

Юбилейная GeForce RTX 4090 от GALAX получила скрытый разъём питания и вентилятор на обратной стороне Также в юбилейную серию вошла оперативная память и твердотельный накопитель.

Штрафы для компаний за утечки персональных данных россиян наконец-то увеличатся При этом пока неизвестно, будут ли они оборотными в случае повторного нарушения

Россия наложила на Google штраф в $50 млн Таганский суд Москвы назначил компании Google третий оборотный штраф в размере 4,6 млрд руб. за отказ удалить из Youtube фейки.

Еще лучше, чем раньше: комплект для фотосъёмки Xiaomi 14 Ultra показали со всех сторон после анонса Фотографии черного Xiaomi 14 Ultra, а также набора для более профессиональных фотографов опубликовало издание IT Home вскоре после анонса. В Xiaomi Mi 14 Ultra используется нанокожа третьего. Согласно официальному описанию, это покрытие имеет в 5 раз лучшую износостойк...

«КОРУС Консалтинг» внедрил единую цифровую среду для подразделений сети «Галамарт» в России и Китае Система, ставшая ядром нового ИТ-ландшафта компании, охватила товародвижение и логистические операции и помогла объединить процессы основных подразделений в России и Китае в рамках единой платформы.

В России разработали и успешно внедрили автокраны для работы c радиоактивными грузами В России разработаны и внедрены уникальные автокраны для работы с радиоактивными материалами. Техника произведена на Галичском автокрановом заводе, имеет грузоподъемность 50 тонн и длину стрелы 25 метров.

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

Администрация президента не поддержала поправки Минцифры о смягчении штрафов за утечки данных Предполагалось, что компании должны направлять часть оборотных средств на кибербезопасность

ASUS анонсировала спецверсию GeForce RTX 4090 без кабеля дополнительного питания ASUS представила свои новые продукты с поддержкой стандарта BTF, который позволяет видеокартам работать без кабеля дополнительного питания и переносит почти все интерфейсы на обратную сторону материнской платы

Суд в Москве оштрафовал Zoom на 15 млн рублей за повторный отказ локализовать данные россиян В октябре суд рассмотрит ещё один протокол на сервис — ему грозит оборотный штраф.

Как устроен внутри смартфон с рекордно узкой рамкой. В Сети появилось видео с разборкой Meizu 21 Компания Meizu представила свой флагманский смартфон Meizu 21 только два дня назад, а в Сети уже появилось видео с его разборкой.  Начать стоит не с внутренностей аппарата, а с экрана. Напомним, Meizu заявляла, что её новинка имеет очень узкую рамку, и измерения п...

TikTok изменил правила выплат блогерам TikTok внедрила новый подход к оплате блогеров, учитывая, насколько их видео соответствует запросам пользователей. Этот новый метрический показатель называется «ценность поиска» и станет одним из основных элементов определения заработка. Это объявлено в рамках новой программ...

Смарт-избушка на курьих ножках без электросетей Как любому продвинутому дачнику, покоя не давала мне идея видеонаблюдения. Но осложнялась идея тем, что на моём винограднике электромагистралей не предвидится в ближайшем обозримом будущем. Всё это хозяйство находится в поле поодаль от цивилизации. Ну и, конечно, чем сложне...

«МегаФон» внедрил на своей сети отечественное транспортное оборудование В пресс-службе «МегаФон» сообщили, что мобильный оператор успешно завершил тестирование и внедрил на своей сети транспортное оборудование от российского производителя. Новые маршрутизаторы, способные обеспечить высокую пропускную способность до 100 Гбит⁄с, были установлены н...

Бюджетно снаряжаем Микротик RBM33G для «дальнего боя» Микротик OEM RouterBoard RBM33G далеко не новинка и хорошо известна среди специалистов и пользователей Микротик. Она была создана производителем для того, чтобы дать каждому возможность самому «сконструировать» свой роутер. В настоящее время эта плата вовсе не устарела, акти...

Учёные создали первый в мире функциональный полупроводник из графена. Для него была создана технология получения эпитаксиального графена Чем ближе мир подбирается к техпроцессам около 1 нм, тем активнее говорят о том, что совсем скоро индустрии понадобится замена кремния. И, похоже, учёные создали один новый вариант — графен.  Точнее, сам графен известен уже не первый год, но учёные из Технол...

Минцифры объяснило, как будут работать компенсации пострадавшим от утечек личных данных при принятии законопроекта По плану, выплаты будут считаться смягчающим обстоятельством при назначении компании оборотного штрафа за утечку.

Huawei разрабатывает инструменты для производства современных чипов Источник: atomic-energy.ru Компании Huawei Technologies удалось начать выпуск современных полупроводниковых компонентов по передовому техпроцессу. В этом помогает китайская организация SiCarrier, которая поставляет соответствующее оборудование. Сейчас обе компании стали со...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

РКЦ "Прогресс" внедрил инновационную технологию сварки для ракеты "Союз-5" В пресс-службе Ракетно-космического центра «Прогресс», входящего в структуру Роскосмоса, сообщили, что была внедрена инновационная технология сварки трением с перемешиванием при создании перспективной ракеты-носителя «Союз-5».

Тайванькая TSMC стала ближе к получению $5 млрд от американского правительства По сообщениям, Taiwan Semiconductor Manufacturing Company (TSMC) близка к получению федеральных грантов США на сумму более 5 миллиардов долларов.

Банки выступили против оборотных штрафов за утечки данных Законопроект, предусматривающий штрафы в размере до 500 млн руб. за утечки персональных данных клиентов, был внесен в Госдуму в конце 2023 года.

Это первое за семь лет увеличение ёмкости HDD формата 2,5 дюйма. Western Digital представила модели объёмом 6 ТБ Компания Western Digital представила серию внешних жестких дисков формата 2,5 дюйма объёмом 6 ТБ.  Можно было бы подумать, что это просто очередные HDD, которые уже мало кому интересны, но суть в том, что ранее таких жёстких дисков не было. Это самые высокообъёмны...

Квантовые точки успешно внедрили в метаповерхности В рамках недавнего прорыва исследователи из POSTECH успешно внедрили квантовые точки в метаповерхности с помощью наноимпринт-литографии (NIL). Эта техника, предполагающая перенос сложных узоров в нанометровом масштабе, позволяет создавать метаповерхности с материалами, недос...

Mail.ru внедрила искусственный интеллект для автоматизации техподдержки Российский сервис электронной посты, «Почта Mail.ru», успешно внедрил машинное обучение в техническую поддержку. Новая модель на базе нейронной сети автоматизировала первую линию поддержки, позволяя более эффективно распределять запросы пользователей и сокращать время обрабо...

В Госдуме предложили ужесточить наказания за утечки данных — ввести оборотные штрафы и тюремные сроки «Действующие меры ответственности мало кого стимулируют», — пояснил необходимость поправок сенатор Андрей Турчак.

ОДК внедрит новые материалы и технологии в ремонт газотурбинных двигателей На гатчинском предприятии «ОДК-Сервис» Объединенной двигателестроительной корпорации Ростеха планируется внедрить передовые технологии по восстановлению деталей из материалов нового поколения с применением промышленных роботов и аддитивных технологий.

MSI представила материнские платы Intel Z890 EDGE и PRO для процессоров Intel Arrow Lake Материнская плата Z890 EDGE имеет обновление в дизайне, с полностью белой эстетикой и размещенными на правой стороне печатной платы 8-контактными разъемами для питания сокета LGA 1851.

Да, очень длинная, но зато нетолстая. Представлена видеокарта RTX 4070 Super Gaming X Slim MLG, занимающая всего два слота расширения Компания MSI представила видеокарту RTX 4070 Super Gaming X Slim MLG, которая выделяется несколькими аспектами, но в первую очередь габаритами.  Если точнее, толщиной: карта занимает всего два слота расширения, что сейчас редкость даже в этом сегменте. Длина у кар...

ГК «Солар»: более 90% российских компаний внедрили или готовы внедрить решения для выявления интернет-угроз Подавляющее большинство (92%) российских компаний открыты к работе с решениями для выявления интернет-угроз. Из них 31% уже работают с этим классом, и еще 61% планируют внедрение в течение ближайших двух лет. Таковы результаты исследования ГК «Солар», посвященного уровню зна...

Американцы в TikTok жалуются на службу в армии и демотивируют записываться в ее ряды DailyMail: молодые американские военнослужащие ругают свою армию. Они выкладывают на платформе TikTok видео, в которых жалуются на отсутствие конфиденциальности, низкую зарплату, плохое питание и неуважение со стороны руководства. Одно из таких видео, снятое солдатом Энтони ...

TP-Link представляет два коммутатора с питанием от PoE Оба коммутатора также могут использоваться для питания подключенных камер видеонаблюдения, точек доступа Wi-Fi и других устройств, питающихся по PoE в стандарте 802.3af/at.

Показано частичное изображение экрана Galaxy Z Fold 6 с симметричными рамками Сообщается, что Samsung увеличивает соотношение сторон внешнего дисплея для большего удобства использования.

Politico: Китай отказал Зеленскому во встрече с премьером страны Ли Цяном в рамках форума в Давосе Ни одна из сторон не подтвердила отказ.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Тестирование процессора Intel Core i9-14900K для платформы LGA1700: новый старый флагман Предыдущий топовый процессор Intel Core i9-13900K ощутимо повысил производительность по сравнению с предшествующим флагманом, а вот новый Core i9-14900K оказался практически тем же 13900K, просто с чуть повышенной тактовой частотой, которая еще и не всегда достигается на пра...

Microsoft проверила эффективность водородного топлива для питания серверов В рамках сотрудничества компании Caterpillar Inc., Microsoft и Ballard Power Systems успешно продемонстрировали потенциал технологии водородных топливных элементов для обеспечения надежного и устойчивого резервного питания центров обработки данных.

«Национальные Технологии» и «Базис» создадут российское инфраструктурное ПО для объектов критической информационной инфраструктуры Соответствующее соглашение стороны подписали в рамках конференции ЦИПР-2024.

Honor представила глобальную версию Magic 6 Pro Сегодня в рамках презентации MWC 2023 компания Honor официально представила глобальную версию смартфона Magic 6 Pro — совсем скоро устройство поступит в продажу в Европе и не только. Стоит отметить, что смартфон Honor Magic 6 Pro работает на новейшем процессоре Snapdragon 8 ...

ГК «Солар»: более 90% российских компаний внедрили или готовы внедрить решения для выявления интернет-угроз Подавляющее большинство (92%) российских компаний открыты к работе с решениями для выявления интернет-угроз. Из них 31% уже работают с этим классом, и еще 61% планируют внедрение в течение ближайших двух лет ...

ВМФ США планирует использовать БПЛА на авианосцах как "расходные материалы" с минимальными затратами Стремление к эффективности: ВМФ США планируют использование беспилотных летательных аппаратов как 'расходные материалы' с минимальными затратами. В рамках программы Collaborative Combat Aircraft ВМС намерены внедрить беспилотную авиацию на авианосцы с уникальной стратегией '...

Microsoft анонсировала собственные чипы AI - Azure Maia 100 и Cobalt 100 Чипы Azure Maia 100 и Cobalt 100 — это первые два кремниевых чипа, разработанных Microsoft для своей облачной инфраструктуры.

Robotruck 1T конкурентен Tesla Cybertruck Стартап Aitekx из Кремниевой долины представил электрический пикап Robotruck 1T

Bloomberg: Переворот в кремниевой долине. Что случилось в OpenAI Совет директоров OpenAI заявил, что потерял доверие к Альтману.

Специалист в области питания рассказала, как вода с лимоном помогает похудеть Кэтрин Гервасио, дипломированный диетолог и сертифицированный тренер по лечебному питанию в EHProject, перечислила факторы, благодаря которым вода с лимоном помогает сбросить лишние килограммы.

В сообществе XRP обсуждают решение Ripple о размере эмиссии Юрист Билл Морган, написавший в социальной сети X пост о том, что показатели XRP не оправдывают ожидания сообщества, положил начало дискуссию о большом оборотном предложении XRP и его влиянии на токеномику актива

В России внедрили новые линии по сборке микросхем и чипов Российский производитель микроэлектроники «Микрон» внедрил две новые линии по сборке микросхем в пластиковые корпуса и дополнительную линию по выпуску чипов для банковских карт, электронных документов и sim-карт. Общие инвестиции в развитие производства составили 1,35 млрд р...

Логотип Apple на новом iPad Pro — это часть системы охлаждения. Разборка планшета показала внутреннее устройство Канал Phone Repair Guru одним из первых опубликовал видео с разборкой нового 13-дюймового iPad Pro на SoC M4.  Можно видеть внутреннюю компоновку планшета, которая, как всегда у Apple, выглядит очень аккуратно. Ёмкость батареи — 39,99 Вт·ч или 10 209 ...

Рендер Samsung Galaxy S24 Ultra, более близкий к реальному смартфону. Будущий флагман c титановой рамкой показали в золотом Инсайдер Ice Universe выложил на своей страничке в Weibo новый рендер Samsung Galaxy S24 Ultra. Информатор написал, что это изображение более близко к реальному смартфону.  Информатор также добавил, что титановая рамка Galaxy S24 Ultra «имеет тонкую матовую ...

Новейший POCO C65 и другие устройства Xiaomi со скидками к распродаже 11.11 Конечно же, компания Xiaomi никогда не останется в стороне в рамках популярнейшей распродажи 11.11.

[Перевод] Сверхмассивные чёрные дыры поглощают материю быстрее, чем мы думали У чёрных дыр может оказаться в наличии совершенно неожиданная космическая «служба доставки», поставляющую им газ и пыль для «еды». Может оказаться, что эти космические титаны питаются с гораздо большей скоростью, чем предполагали учёные, и насыщаются в течение нескольких мес...

6000 мА·ч, без AMOLED и всяких излишне мощных платформ. Представлен смартфон Vivo Y38 Компания Vivo анонсировала потенциально дешёвый смартфон с огромным аккумулятором. Модель Y38 получила элемент питания ёмкостью 6000 мАч.  С автономностью тут всё должно быть отлично, так как кроме большого аккумулятора тут ещё и экран HD+, что снижает потребление...

Google Pixel 9 Pro показали на рендерах Авторитетный инсайдер OnLeaks и профильный ресурс Mysmartprice опубликовали качественные изображения флагманского смартфона Google Pixel 9 Pro, официальный релиз которого ожидается осенью этого года. По данным источника, аппарат получит плоский 6,5-дюймовый дисплей с закругл...

Google назвала дату запрета слежки за пользователями Chrome Компания Google окончательно определилась со своими планами по поводу отслеживания файлов cookie сторонними сервисами в браузере Chrome. Если ранее говорилось лишь о расплывчатых сроках - 1 квартал 2024 года, то теперь названа точная дата начала «решительных шагов...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Средняя цена пластин TSMC подскочила на 22% за год Поставки 3-нм пластин составляют 15% доходов компании

Samsung получила заказ на 2 нм пластины от японского стартапа по производству чипов ИИ Samsung получила заказ на 2 нм пластины от японского стартапа по производству чипов искусственного интеллекта

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Это процессоры AMD продают только в Китае, но стоит ли расстраиваться из-за этого? Опубликованы первые тесты Ryzen 7 8700F и Ryzen 5 8400F Компания AMD недавно без лишнего шума выпустила процессоры Ryzen 7 8700F и Ryzen 5 8400F. Они вышли пока только для Китая. В Сети уже есть первые обзоры, поэтому мы теперь можем ознакомиться с ними.  Напомним, Ryzen 7 8700F и Ryzen 5 8400F представляют собой перев...

13 советов по питанию во время праздников Небольшое переедание в праздники - это вполне нормально. Но осознанное питание поможет вам улучшить отношения с едой.

Эксперты перечислили восемь мифов о питании В социальных соцсетях в последнее время можно наткнуться на различные мифы о еде. Причём распространяют их даже знаменитости. Специалисты в области питания рассказали о самых опасных подобных мифах.

Intel Core i9-14900K тоже получит декоративные серебряные пластины в упаковке — фото Подобный «трюк» Intel использовала и для Core i9-13900K.

Alphacool представила Eisblock Aurora для эталонного дизайна Radeon RX 7800 XT Модифицированная реактивная пластина обеспечивает равномерное распределение воды

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

AMD готовит GPU с 288 ГБ памяти. Ускоритель Instinct MI325X выйдет уже в этом году Ускорители для ИИ AMD Instinct 300 предлагают рекордный для рынка объём памяти до 192 ГБ, а теперь компания рассказала, что уже в этом году выпустить модель с 288 ГБ памяти!  Такой моделью будет Instinct MI325X. Она получит 288 ГБ памяти HBM3e. Пропускная способн...

Процессор Snapdragon 8 Gen 4 будет работать с кремниевыми аккумуляторами и памятью LPDDR5T Становятся известны новые причины подорожания Snapdragon 8 Gen 4

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Цифровая музыка на микрокомпьютерах (часть 2/2) В первой части статьи мы узнали про существование такого явления, как цифровая музыка, то есть состоящая из оцифрованных кусочков звучания реальных инструментов, на маломощных 8-битных микрокомпьютерах 1980-х годов, а затем углубились в детали этой истории в рамках горячо л...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Огромный чип на 4 триллиона транзисторов представила компания Cerebras Systems Для создания Cerebras WSE-3 используется вся 300-миллиметровая полупроводниковая пластина

Alphacool представила новую пластину Core Distro Plate для Lian Li O11 Dynamic Evo/XL Эта Distro Plate может быть легко прикреплена к боковой панели корпуса O11 Dynamic Evo/XL.

Чипсеты Apple M4 и A18 увеличат производительность ИИ Тайваньская компания TSMC будет заниматься производством пластин для этих процессоров

Вы не знали, а Rolls-Royce создает ядерные реакторы: об одном из них нам рассказали Rolls-Royce представила концептуальную модель космического микрореактора, показанную на Космической конференции Великобритании. Компания, в рамках программы, финансируемой Британским космическим агентством в размере 2,9 миллиона фунтов стерлингов, разрабатывает передово...

Выпущены умные часы Itel Icon 3 с 2,01-дюймовым AMOLED-дисплеем и дизайном похожим на Apple Watch Экран окружен рамкой из цинкового сплава премиум-класса, а на правой стороне имеется вращающаяся заводная головка с функциональной кнопкой.

Исследователи соединили ткань человеческого мозга с электроникой Это достижение может привести к созданию кремниевых чипов, наполненных нейронами.

TSMC анонсировала новую технологию производства микросхем CoW-SoW TSMC представила прорывную технологию создания сверхбольших микросхем методом 3D-интеграции всей кремниевой пластины.

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Смартфоны застряли в рутине: экосистема приложений — ключ к решению проблем Будущее смартфонов кажется застойным, производители изо всех сил пытаются внедрить инновации за пределы вездесущих прямоугольных панелей, на которых доминируют сенсорные экраны и иконки приложений. Пока ходят слухи о предстоящих релизах на выставке Mobile World Congress (MWC...

Плохое питание может привести к большему риску развития рака Новое исследование, проведенное в Национальном университете Сингапура (NUS), проливает свет на связь между плохим питанием и повышенным риском развития рака.

Intel признала вину, но лишь частично. Компания ещё не нашла основную причину нестабильной работы процессоров Core-K, но нашла свою ошибку, которая усугубляет проблему Компания Intel сделала свежее заявление относительно нестабильности процессоров Core 13-го и 14-го поколения (K/KS/KF) и заодно выпустила обновление, которое может улучшить ситуацию.  Как мы узнали на днях, частично причина обусловлена неправильным значением, уста...

Российские учёные в Арктике получили алмазные пластины Арктические учёные из России создали алмазные пластины с различными параметрами

Мировые мощности по производству полупроводников достигнут рекордно высокого уровня в 2024 году Мировые мощности по производству полупроводников достигнут рекордно высокого уровня в 30 миллионов пластин в месяц в 2024 году

Дефицит подложек для ускорителей вычислений NVIDIA усугубляется Они становятся крупнее, из одной пластины удаётся получить меньшее количество.

Из-за опасений перед Россией, Германия закупит израильские системы ПРО Arrow-3 Германия и Израиль заключили соглашение о покупке германской стороной противоракетного комплекса Arrow-3 в рамках укрепления оборонительных мер против возможных угроз со стороны России

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Названы признаки того, что ваш близкий человек - алкоголик Праздничное веселье может скрывать внутреннюю борьбу некоторых людей с алкоголизмом. Хотя кажется, что они держат свою жизнь в руках, алкоголь может быть «крепким орешком», незаметно подтачивающим их благополучие. Давайте разберемся в том, что происходит за фасадом, и опреде...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

В Китае разработаны 1600-ядерные чипы, использующие целую пластину Тот момент когда больше - значит лучше

Компания EK выпустила новую серию водоблоков под названием EK-Quantum Velocity² Edge Special Edition Водоблоки EK-Quantum Velocity² Edge D-RGB - AM5 Special Edition обладают охлаждающей пластиной из высококачественной меди

Китайский посадочный модуль на Луне был сфотографирован зондом НАСА Китайский спускаемый аппарат, отправленный на обратную сторону Луны в рамках миссии «Чанъэ-6», был сфотографирован американским лунным разведывательным орбитальным аппаратом (LRO).

В США требуют от Байдена провести расследование технологического притеснения со стороны ЕС Члены палаты представителей Конгресса США поддержали резолюцию по продолжению расследования в отношении президента Джо Байдена в рамках процесса импичмента.

Умный видеодомофон с Алисой. Обзор Botslab Video Doorbell R801 Умные домофоны сейчас перестали быть нишевым устройством, доступным и интересным только энтузиастам. Сейчас для их настройки и управления не требуется наличие специальных навыков и помощь дорогостоящих специалистов. При этом они повышают безопасность, позволяя визуально конт...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Революция: ученые изобрели рекордно маленький и быстрый детектор квантового света Ученые из Бристольского университета в Великобритании разработали самый маленький в мире детектор квантового света на кремниевой микросхеме.

В России создана технологическая платформа для интеграции мемристорной памяти RRAM в кремниевые чипы Российские ученые шагнули в будущее микроэлектроники с разработкой мемристорной памяти

Выращенные в лаборатории мозговые клетки начали выполнять вычислительные задачи Швейцарская компания Final Spark запустила первую в мире платформу для биообработки, в которой выращенные в лаборатории мозговые клетки начали выполнять вычислительные задачи вместо кремниевых чипов.

Изготовлен материал с «кремниевыми шипами», который способен уничтожить почти все вирусы Шипы разрушают вирусы, не позволяя им размножаться. В результате это может помочь предотвратить распространение болезни.

Как я убил PEI пластину на FlyingBear Ghost 6. То, что Вы прочтёте дальше может показаться бредом, но это не так. С PEI покрытием категорически рекомендую 3D клей. Дело в том, что после массовой печати на одном и том-же месте пластины начала проявляться дикая адгезия. Стало невозможно оторвать изделие без повреждения пер...

Noctua представила линейку Home — устройства не для ПК, а для дома Компания Noctua, известная своими воздушными системами охлаждения для ПК, неожиданно представила линейку продуктов Home. И это именно то, о чём можно подумать из названия.  Это устройства вовсе не для компьютера, а для дома. Если точнее, для домашней, офисной и мн...

ПМЭФ 2024: Синергия «Группы Астра» и СПбГУ усилит искусственный интеллект в сфере образования Стороны заключили соглашение о сотрудничестве, в рамках которого намерены совместно работать над созданием AI-решений и организацией образовательного процесса на базе программных продуктов «Группы Астра».

Новый инструмент Canon для производства пластин может изменить рынок оборудования Это даст возможность для удешевления производства современных микросхем

Рост потребления пластин NAND у Samsung, но компания сохраняет осторожность Samsung наращивает производство пластин NAND, но при этом сохраняет осторожность, опасаясь влияния на цены. Ожидается подорожание NAND в следующем квартале.

Intel продолжает «худеть» – компания избавилась от технологий в области кремниевой фотоники Intel пытается оптимизировать свой бизнес, но пока только распродаёт накопленные активы

«Призрачный рыцарь» от Acer с двумя вентляторами и 16 ГБ памяти. Acer Shadow Knight Radeon RX 7800 XT OC поступила в продажу Анонсированная в рамках выставке CES 2024 видеокарта Acer Shadow Knight Radeon RX 7800 XT OC поступила в продажу в Китае: новинка представлена на местном официальном сайте Acer с ценой 540 долларов. Acer Shadow Knight Radeon RX 7800 XT OC оснащена системой охлаждения, ...

Представлена EcoFlow Delta Pro 3 – зарядная станция емкостью 4 кВт-ч за $3699 Ведущая компания по производству портативных источников питания и экологически чистых энергетических решений EcoFlow анонсировала сверхмощную портативную электростанцию EcoFlow Delta Pro 3, призванную сделать домашнее резервное питание проще и удобнее. Устройство…

Гибкая раскладушка Galaxy Z Flip 6 получит более тонкие рамки вокруг дисплея Согласно новым слухам, Samsung Galaxy Z Fold 6 получит новое соотношение сторон внешнего дисплея, а Galaxy Z Flip 6 будет оснащен более тонкими рамками.

США и ЕС объединяют усилия в поиске альтернативы токсичным химикатам в производстве микросхем В рамках совета по торговле и технологиям стороны запускают совместные проекты по созданию альтернатив токсичным материалам и стабилизации рынка чипов.

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Подорожание пластин для Snapdragon 8 Gen 4 может сделать флагманские смартфоны дороже Очередной слух говорит об увеличении стоимости новых процессоров Qualcomm

Российские учёные разработали кремниевые частицы против коронавируса Учёные из России разработали кремниевые наночастицы, которые могут «ловить» коронавирус

Власти США помешали AMD продать в Китай ИИ-ускорители Производитель микросхем Advanced Micro Devices Inc. (AMD) столкнулся с трудностями со стороны американского правительства при попытке продажи чипа искусственного интеллекта, специально адаптированного для китайского рынка. Это произошло в рамках ужесточений в отношении экспо...

Redmi K80 Pro получит Snapdragon 8 Gen 4, новый аккумулятор, металлическую среднюю рамку и стеклянную заднюю панель Появились первые детали о смартфонах серии Redmi K80, которые предоставил инсайдер Digital Chat Station. Xiaomi ведет разработку Redmi K80 и Redmi K80 Pro. Хотя конкретная дата запуска не упоминается, инсайдер уверен, что они выйдут в конце 2024 года, как и мобильная пл...

Производители DRAM стали скрывать цены после землетрясения на Тайване Повреждение завода по производству пластин на Тайване из-за землетрясения спровоцирует перебои с поставками чипов памяти и рост цен.

Snapdragon 8 Gen 5 будет дешевле Snapdragon 8 Gen 4? Qualcomm хочет вернуться к Samsung, как второму производителю SoC Однокристальная система Snapdragon 8 Gen 4 еще не была представлена, а в Сети появились новости о Snapdragon 8 Gen 5. Возможно, она будет дешевле Gen 4.  Суть в том, что высокая цена грядущей платформы обусловлена не только лишь какими-то желаниями Qualcomm. Важна...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Система поездов в сердце Кремниевой долины по-прежнему работает на дискетах Большинство людей этого не осознают, но старые технологии лежат в основе большей части нашей нынешней инфраструктуры.

iPhone 16 Pro выйдет только через полгода, а первые результаты тестов Apple A18 Pro уже появились в Сети Первые результаты тестирования однокристальной системы Apple A18 Pro, которая должна быть установлена в iPhone 16 Pro, появились сегодня в Сети. Устройство под управлением Apple A18 Pro набрало 3570 и 9310 баллов в одноядерном и многоядерном тестах Geekbench 6, что на 2...

Модульный блок питания с PCI 5.0 и 80 Plus Gold. Обзор Chieftec Atmos CPX-750FC Тестируемый сегодня блок питания Chieftec Atmos CPX-750FC идет с сертификацией 80 Plus Gold и полностью модульным кабель менеджментом. Новинка выполнена с применением полномостового преобразователя SRC с технологией DC-DC, обдувается 135 мм вентилятором с гидродинамическим п...

На старых процессорах Intel 386 нашли скрытое необычное послание Инициалы Гелсингера на кремниевой матрице процессора обнаружил блогер Кен Ширрифф

Пользователь нашел сапфировый чип в старом флоппи-дисководе HP Любитель реставрации показал чип с сапфировой подложкой, с наклеенными на нее кремниевыми и металлическими компонентами.

Нидерланды разрабатывают план для удержания компании ASML в стране ASML является крупнейшим в мире производителем оборудования для производства пластин и владеет ключевыми технологиями, необходимыми для производства передовых чипов

Проект Project Silica от Microsoft внедряет хранение данных с помощью стеклянных пластин Он позволяет сохранить огромные объемы информации на небольших стеклянных пластинах, гарантируя сохранность данных на тысячи лет.

Эксперт: Хельсинки могут стать новой технологической «Меккой» Забудьте о Калифорнии, скрытая жемчужина Европы, Хельсинки, тихо крадет трон у Кремниевой долины, считает эксперт HackerNoon. По его словам, столица Финляндии “горит” искусственным интеллектом, квантовыми вычислениями и финтехом.

Drop выпустила новую клавиатуру CSTM65 Клавиатура также предлагает различные варианты накладок, переключателей, пластин и кабелей

Литературное программирование Не прошло и месяца как я узнал о литературном программировании, но сама концепция произвела на меня неизгладимое впечатление, заставила переосмыслить некоторые вещи и поубавить пыла на пару с максимализмом в некоторых суждениях. О технической стороне литературного программир...

«Дочка» Volvo показала свой первый смартфон... срисованный с Meizu «Дочка» Volvo Polestar, известная своими электромобилями, сделала смелый шаг в сторону смартфонов, представив устройство с дизайном от Meizu 20. Polestar Phone, имеющий характерную плоскую металлическую рамку и ярко выраженные кольца модуля камеры, намекает на систему камер ...

BDUI: sapere aude или продолжай писать на коленке Backend Driven UI — относительно новая парадигма создания приложений. Она позволяет сделать продукт индивидуально полезным для каждого пользователя. На личном опыте я убедился, что это очень важно в современном процессе мобильной (и не только) разработки.В данной статье я по...

Huawei MateBook D 16 2024 появился в продаже Компания Huawei дала старт китайским продажам ноутбука MateBook D 16 2024, который был представлен пару недель назад. Пока доступна только одна конфигурация – с чипом Intel Core i5-13420H, 16 ГБ ОЗУ и SSD на 1 ТБ за 626 долларов. Напомним, что ноутбук характеризуется 16...

Купить GeForce RTX 4060 Ti и установить на неё до 8 ТБ памяти. В продажу поступила модель Asus со слотом для SSD Компания Asus наконец-то выпустила необычную видеокарту GeForce RTX 4060 Ti со слотом для установки SSD.  Новинка уже засветилась как минимум в одном финском магазине, правда, цена у карты немаленькая. За новинку просят 560 евро, а это на 90 долларов больше, чем т...

Судебные документы раскрыли убеждённость Google в преследовании со стороны властей Минюст США в рамках антимонопольного расследования в отношении Google раскрыл часть судебных документов, свидетельствующих об уверенности компании в подавлении её инноваций и помощи таким образом конкурентам.

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

В России запустили крупнейший в Восточной Европе завод пластин из монокристаллического кремния В январе 2024 года при участии главы государства в Калининградской области запустили завод по выпуску пластин из монокристаллического кремния высокого качества.

Упаковка Google Pixel 8 подтвердила дизайн и характеристики смартфона На сайте Mydrivers опубликовали реальные фотографии упаковочной коробки смартфона Google Pixel 8. На верхней части коробки видно, что хотя расположение камер Pixel 8 такое же, как у Pixel 7, сами модули явно больше, чем у Pixel 7. Ожидается, что смартфон получит светочу...

Высокопрочные сплавы в аддитивном производстве В мире 3д-печати произошёл передовой шаг в области печати высокоэнтропийных сплавов (HEAs). Высокоэнтропийные сплавы состоят из равных или близких молекулярных долей пяти или более компонентов, это обеспечивает высокую прочность, твёрдость и устойчивость к износу.Традиционны...

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Средняя цена 3нм пластин TSMC подскочила на 22% за один год По оценкам некоторых аналитиков, стоимость одной пластины, обработанной по технологии N3, может достигать 20 000 долларов

TSMC готовится к росту рынка ИИ и инвестирует $16 млрд в производство CoWoS TSMC надеется быстро расширить производство упаковочных пластин CoWoS за счет масштабных инвестиций, обеспечивая бесперебойную цепочку поставок для клиентов ИИ.

Китайские исследователи работают над процессорами с 1600 ядрами Такого количества ядер можно достичь, используя многокристальную конструкцию из полноценной полупроводниковой пластины

Google заплатила Apple 20 миллиардов долларов за свое место в браузере Safari Доминирующее положение Google Search уже давно выходит за рамки собственного браузера компании, и ярким примером тому является Safari от Apple. Недавний отчет Bloomberg, ставший известным благодаря документам по антимонопольному иску Минюста США против Google, проливает свет...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Флеш-память 3D NAND нового поколения, возможно, будут производить при температуре -70 градусов. Hynix и Samsung тестируют криотравление Компания Hynix тестирует новую технологию, которую может использовать в ближайшем будущем для производства флеш-памяти. Речь о технологии криотравлении.  создано DALL-E Сейчас при производстве флеш-памяти используются процессы травления при температурах в диапазон...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

В сеть попало изображение пластины с чипами Intel Xeon Granite Rapids Данные процессоры будут выпускаться по новому технологическому процессу Intel 3 и включать новые производительные ядра Redwood Cove

Санкции с подвохом: компания из Китая выпустила аккумуляторы непосредственно в США В США, вблизи Кремниевой долины, первый блок аккумуляторов для электромобилей выпустила китайская компания Gotion High-Tech, которая начала несколько лет назад и успешно продолжает по-настоящему мировую технологическую экспансию.

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

На Российском сегменте МКС проведут эксперименты с синтетической пластиной Управляющий партнер компании 3D Bioprinting solutions Юсеф Хесуани рассказал, что на российском сегменте Международной космической станции (МКС) проведут эксперимент с синтетической пластиной, пишет ТАСС.

В сеть слили чертежи iPhone 16 Pro Инсайдер, близкий к информационному изданию 91mobiles, сообщил и опубликовал чертежи смартфона iPhone 16 Pro, которые демонстрируют общий дизайн смартфона с некоторыми незначительными изменениями — но уже сейчас можно предположить, что кардинальных изменений у гаджета не буд...

Российские ученые улучшили износостойкость медицинских деталей В пресс-службе Национального исследовательского центра «Курчатовский институт» сообщили, что ученые научного центра создали новый материал, который делает штифты, винты и пластины износостойкими, что продлевает их срок службы.

В ЕС судебный советник неожиданно встал на сторону Intel в антимонопольном деле В Евросоюзе независимый судебный советник, генеральный адвокат Европейского суда Лайла Медина поддержала Intel в вопросе оценки конкуренции, из-за чего компания с 2009 года ведёт тяжбы с ЕС в рамках антимонопольного дела, согласно которому вендор должен выплатить штраф в раз...

В России готовы выпускать перспективную мемристорную память Российскими учеными объявлено о создании весьма интересной технологии, позволяющей интегрировать мемристорные устройства в процесс по производству кремниевых микрочипов. Это позволит выпускать в России достаточно перспективную мемристорную память формата RRAM.

5 самых известных предпринимателей-мошенников из Кремниевой долины и их стартапы Не все бизнес-проекты из Калифорнии стали международными компаниями с миллиардными капиталами. Некоторые предприниматели, получив средства инвесторов, оказались банальными жуликами. В нашем обзоре — пять самых известных таких жуликов.  Читать далее

Бывший глава отдела дизайна Apple начнёт работать с Джони Айвом, Сэм Альтман над новым проектом Как сообщается, акулы Кремниевой долины хотят разработать новые устройства с искусственным интеллектом

Высокий процент брака и плохая энергоэффективность. У Samsung большие проблемы с 3-нм техпроцессом Ранее ходили слухи, что Samsung добилась успеха со своими 3-нм техпроцессами, а разработка 2-нм техпроцессов продвигается гладко. Но, по данным Businesskorea, 3-нм техпроцесс Samsung Foundry по-прежнему значительно уступает технологиям TSMC как по проценту бра...

Разъём питания 12VHPWR снова оказался причиной проблем. CableMod отзывает свои адаптеры 12VHPWR Разъём 12VHPWR присутствует на рынке уже достаточно давно, но до сих пор остаётся причиной проблем. Компания CableMod запустила отзывную кампанию, в рамках которой просит покупателей вернуть ей адаптеры 12VHPWR и немедленно прекратить их использование.  Речь идёт ...

Никакого AMOLED, гигантский аккумулятор и камера на 108 Мп при цене 250 долларов. Представлен Honor X7b Компания Honor представила очередной недорогой смартфон с огромным аккумулятором.   Модель Honor X7b оценена в 250 долларов, предлагая при этом элемент питания ёмкостью 6000 мА·ч. Это самая сильная сторона аппарата, так как большинство остальных параметров ...

ASML предоставила свой новый аппарат для литографии в крайнем ультрафиолете Модель Twinscan NXE:3800E будет отличаться от предыдущих моделей большей производительностью, давая возможность обрабатывать до 220 пластин в час

Три варианта цвета зарядки для DualSense и два комплектации. Обзор DOBE TP5-0521 Сегодня мы рассмотрим сразу три варианта исполнения зарядной док-станции DOBE TP5-0521 для геймпадов DualSense. Отличия между ними заключаются в цвете исполнения: черная, красная и белая, а также комплектации. В полном комплектации владелец получает адаптер питания в розетку...

В России создали безмасочный нанолитограф Ученые Санкт-Петербургского политехнического университета Петра Великого (СПбПУ) создали две установки, которые позволят «решить вопрос технологического суверенитета России в этом направлении в сфере микроэлектроники». Это установка безмасочной нанолитографи...

Ультратонкие рамки iPhone 16 Pro могут стать головной болью на производстве Инсайдеры сообщили, что у Apple могут возникнуть серьёзные трудности с будущими iPhone 16 Pro и 16 Pro Max. Дело в ультратонких нижних рамках дисплея, чего нужно достичь за счёт применения технологии Border Reduction Structure (BRS), которая и сделает нижнюю рамку сверхтонко...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Xiaomi 14 Pro засветился в Китае В базе данных китайского сертификационного агентства 3C появились сведения о смартфоне Xiaomi 14 Pro, официальный релиз которого ожидается в начале ноября этого года. Устройство с кодовым наименованием 23116PN5BC получит комплектный адаптер питания мощностью 120 Вт. Ране...

Таких экранов вы ещё не видели. Дисплей Xiaomi 14 Pro показали вживую на видео Видеоролик, который позволяет оценить уникальность экрана Xiaomi 14 Pro, опубликовал китайский инсайдер Ice Universe. Напомним, внешне Xiaomi 14 и Xiaomi 14 Pro похожи, только у Xiaomi 14 Pro и передняя, и задняя панели одинаково изогнуты со всех четырёх сторон с радиус...

Миллиардер Питер Тиль финансирует мероприятие «Олимпиада на стероидах» Миллиардер Кремниевой долины Питер Тиль использует свои финансовые возможности для проведения «Олимпиады на стероидах», организатор которой хвастается, что спортсмены будут принимать допинг «открыто и честно».

Кремниевая долина усиливает проверку сотрудников из-за опасений китайского шпионажа Венчурные инвесторы требуют от стартапов усилить безопасность и проверку персонала в связи с опасениями хищения интеллектуальных данных Китаем.

В Воронеже появилась лаборатория электроники для российского рынка В пресс-службе Воронежского государственного университета (ВГУ) сообщили, что вуз открыл лабораторию нитрид-галлиевой и кремниевой электроники, получив для этого финансирование в размере 100 млн рублей.

Apple догонит рынок? Свой аналог ChatGPT в iOS может появиться уже в следующем году Компания Apple, похоже, наконец-то примкнёт к гонке генеративного искусственного интеллекта уже в следующем году.  Аналитик Haitong International Securities Джефф Пу (Jeff Pu) утверждает, что Apple может внедрить генеративный ИИ в iOS 18, которая выйдет ближе к ко...

Виниловый проигрыватель с AT-3600L и оцифровкой. Обзор Lenco L-30 Сегодня в центре внимания будет виниловый проигрыватель Lenco L-30, выполненный в стильном и компактном корпусе из МДФ с отдельной под натуральное дерево. Эта модель оснащена магнитным картриджем Audio Technica, поддерживает две скорости воспроизведения пластинок с разными д...

Oxford PV установил новый мировой рекорд по эффективности солнечных панелей Инженеры компании Oxford PV разработали тандемный солнечный элемент, который сочетает в себе традиционный кремниевый элемент с материалом перовскитом. Перовскит эффективно поглощает свет во всём видимом спектре, что делает его перспективным ...

В России разработают рацион питания для полетов к Луне Научно-исследовательский институт пищеконцентратной промышленности и специальной пищевой технологии примет участие в испытаниях базового рациона питания для экипажей перспективного транспортного корабля нового поколения. Главный конструктор института Виктор Добровольский соо...

Samsung улучшит Bixby за счёт ИИ Сегодня появилась информация, указывающая на то, что компания Samsung не готова отказываться от своего голосового помощника Bixby. Компания планирует внедрить функции генеративного искусственного интеллекта в свой инструмент и в отличие от других слухов, которые сейчас доста...

AMD представила линейку настольных процессоров AMD Ryzen 8000G Socket AM5 Компания планирует вывести свои 4 нм монолитные кремниевые процессоры "Phoenix" и "Phoenix 2" на сокетную настольную платформу

Беспроводная вертикальная мышка. Обзор Oklick 991MW Изучаемая сегодня мышка Oklick 991MW ориентирована на тех, кто проводит много времени за ПК, работая и выполняя рутинную работу. Она вертикальная, что обеспечивает анатомический хват ладонью и снижает нагрузку на запястья и за счет этого предотвращает образование туннельного...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Смартфоны на Snapdragon 8 Gen 4 будут стоить дороже из-за внедрения новых технологий Новый процессор Snapdragon 8 Gen 4 будет стоить дороже из-за использования новых технологий, таких как кремниевые батареи и память LPDDR5T, что скажется и на мобильных телефонах.

Кремниевый «снег» может быть причиной аномалий в недрах нашей планете В течение многих лет сейсмологи сталкивались с загадкой, которой теперь можно дать объяснение. На границе ядра и мантии Земли обнаружили кремниевый «снег», который состоит из твердых кристаллов из соединения железа и кремния.

Mamba. От начала до конца Во времена повсеместного заполонения трансформерами, которые пожирали в себя все больше и больше кремниевых чипов; когда казалось, что лучше уже не будет и за каждый новый токен нужно платить в квадрате от предыдущих, в эту холодную зимнюю пору появилась она - Мамба. ...

Университет штата Аризона и Deca Technologies создадут первый в Северной Америке центр RandD Университет штата Аризона (ASU) и компания Deca Technologies планируют создать первый в Северной Америке центр исследований и разработок в области передовой вентилируемой упаковки на уровне пластин (FOWLP)

Игровой ноутбук Infinix GT Book получил CPU Core i9-13900H Компания Infinix пополнила ассортимент геймерских ноутбуков моделью Infinix GT Book, которая среди прочего получила алюминиевый корпус массой 1,99 кг. Новинка также характеризуется чипами Intel Core i5-12450H, Core i5-13420H, Core i7-13620H и Core i9-13900H, дискретными граф...

Виниловый проигрыватель с колонками и Bluetooth. Обзор Lenco LS-101 Изучаемый сегодня виниловый проигрыватель Lenco LS-101 оснащен двумя внешними динамиками, позволяя наслаждаться живым звуком без необходимости дополнительного наличия ресивера или акустики. Данная модель выполнена в корпусе из МДФ, поддерживает авто остановку, питч-контроль,...

Qualcomm заинтересовалась 2-нм техпроцессом Samsung для Snapdragon 8 Gen 5 Qualcomm нацелилась на 2-нм технологию Samsung Foundry.

Представлен БП Adata XPG Core Reactor II VE Adata выпустила новый блок питания под названием XPG Core Reactor II VE в дизайне прошлогоднего Core Reactor II мощностью 650 Вт. Этот новый блок питания представлен в трёх вариантах: 650 Вт, 750 Вт и 850 Вт. Размеры XPG Core Reactor II VE составляют 140 x 150 x 86 мм. ...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

США не будут воевать на стороне Израиля Джон Кирби: «Нет ни намерений, ни планов и, честно говоря, желания со стороны израильтян, чтобы наши боевые части принимали участие в этом конфликте»

Это 144-ядерный процессор Intel, только все ядра у него малые. В бенчмарке засветился Xeon 6E линейки Sierra Forest Процессор Intel Xeon 6E линейки Sierra Forest со 144 ядрами засветился в бенчмарке.   Если точнее, в базе Geekbench появилась запись о системе с двумя такими CPU, то есть с 288 ядрами в сумме. Речь о платформе Beechnut City, для которой процессоры Sierra Forest в ...

Характеристики Redmi K80 Pro раскрыли задолго до выхода Ожидается, что Redmi представит Redmi K70 Ultra с чипом Dimensity 9300 Plus в июле этого года, а затем переключит свое внимание на Redmi Note 14 и Redmi K80 Pro, которые, как ожидается, дебютируют в четвертом квартале. Задолго до запуска Digital Chat Station опубликовал...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Новейший Land Cruiser 250 сделали более внедорожным. Представлены первые наборы тюнинга Вчера в Японии стартовали продажи новейшего Land Cruiser 250 (он же Land Cruiser Prado 250), и вчера же были представлены первые наборы тюнинга для этой модели. Показали их японские ателье Jaos, Modellista и Toy Factory. Для тех, кто часто выбирается на природу и ночуе...

Приближение к Ио: космический зонд «Юнона» установила новый рекорд наблюдений с минимального расстояния Космический аппарат Juno продолжает раскрывать интересные факты о Юпитере и системе его спутников с момента своего запуска 4 июля 2016 года. На данный момент «Юнона» является первой роботизированной миссией, исследующей Юпитер вблизи, после космического кора...

Появились характеристики чипа MediaTek Dimensity 8300 на техпроцессе 4 нм 8-ядерный Dimensity 8300 превзошёл Dimensity 8200-Ultra в Geekbench 6

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Samsung Electronics ускоряет освоение 2-нм техпроцесса в ущерб 3-нм Перекуём мечи для аврала!

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

Техпроцесс 1,8 нм Intel превосходит 2-нм техпроцесс TSMC По словам генерального директора Intel.

Россиянам рассказали о доступных альтернативах для здорового питания Врачи и специалисты по здоровому питанию предлагают доступные и более бюджетные варианты замены популярных, но дорогих продуктов, подчеркивая их полезные свойства и приемлемую стоимость. Нутрициолог Дарья Русанова из компании «Новабиом» выделила несколько альтернатив, которы...

Colorful представила видеокарты со скрытым разъёмом питания Сегодня компания Colorful расширила свою линейку видеокарт iGame Ultra Z, которая предоставляет возможность достаточно аккуратно расположить кабели питания внутри системы. Стоит напомнить, что несколько месяцев назад компания уже запустила в продажу свои передовые видеокарты...

Монитор Dell UltraSharp 38 U3824DW оценен в 1300 евро Компания Dell дала старт европейским продажам монитора Dell UltraSharp 38 (U3824DW), который вышел в Северной Америке еще весной прошлого года. В Старом Свете за новинку просят 1300 евро. Монитор оснащается 37,5-дюймовой панелью IPS Black с разрешением 3840:1600 пикселей...

Методы оценки руководителей Руководители не только определяют стратегическое направление компании, но и вдохновляют свои команды на достижение общих целей. Именно поэтому оценка руководителей является важнейшим инструментом в руках современного HR-специалиста и топ-менеджмента. Она позволяет не только ...

Технологический исход: у преемника Силиконой долины все очень плохо на фоне выросших расходов Некогда провозглашенный новой Кремниевой долиной, Остин становится свидетелем массового оттока технологических компаний, что ставит под сомнение его жизнеспособность как технологического центра.

Ламповый дисплей для компьютера, часть 3: Синхронизация и кадровая развертка В первой части я привел обзор возможных концепций для построения необычных дисплеев и описал свой замысел на основе круглой ЭЛТ от индикатора кругового обзора РЛС. Во второй части я начал описание пути реализации замысла, который начался с построения высоковольтного источник...

Производство 2-нанометровых чипов обойдётся на 50% дороже 3-нанометровой технологии Возможность производства нового поколения пластин с поддержкой 2 нм может стоить примерно 28 миллиардов долларов

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Intel Lunar Lake будет использовать 3-нм техпроцесс TSMC 1024 графических ядра, трассировку лучей и память LPDDR5X-8533

Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса GAA (Gate-All-Around)

Выход 4-нм продукции Samsung Foundry удваивается с 2022 года до 70% Производительность Samsung Foundry при 4-нм техпроцессе выросла до 70%

Intel подробнее рассказала о семействе техпроцессов Intel 3 Intel 3 обратно совместим с Intel 4.

TECNO POVA 6 Pro 5G прошел сертификацию FCC В базе данных регулятора FCC обнаружились сведения о смартфоне Tecno Pova 6 Pro 5G, официальный релиз которого состоится в конце этого месяца в рамках выставки MWC 2024. Сертификационное агентство подтвердило наличие 12 ГБ оперативной памяти, 256 ГБ флеш-памяти и комплектног...

Почему у космонавтов отслаиваются ногти после выхода в открытый космос Астронавтам часто приходится сталкиваться с необычным явлением после выхода в открытый космос. У них на руках отслаивается ногтевая пластина от ногтевого ложа.

Первый флагман Redmi с изогнутым экраном и IP68. Redmi Note 13 Pro+ AAPE показали со всех сторон Вместе с Redmi Note 13 Pro+ был представлен смартфон Redmi Note 13 Pro+ AAPE, который показали на живых фотографиях с комплектом поставки. Redmi Note 13 Pro+ AAPE выполнен в зеленом камуфляже, средняя рамка/кнопки полностью зеленые, а задняя панель имеет трехмерный тис...

Китай осуществил запуск космического аппарата «Чанъэ-6» на обратную сторону Луны Автоматический лунный модуль вернет на Землю первые образцы с темной стороны Луны.

Российский семейный кроссовер «Москвич 8» — самый большой в линейке бренда — представят в 2024 Директор по производству «Москвича» Олег Масляков рассказал, когда будет представлен новый кроссовер «Москвич 8» «Москвич 8» - кроссовер D-класса, он будет представлен ближе к концу следующего года», - заявил РИА Новости. Юрий ...

История о том, как IT-шник ушел в гостиничную сферу или почему ваш летний отпуск может быть омрачен Скоро лето, скоро отпуска, а значит, вы должны знать, с какими проблемами некоторые из вас столкнутся при бронировании гостиниц. Плохая новость заключается в том, что вы с этим не сможете ничего сделать и ваш отпуск будет омрачен.Когда...

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Техпроцесс N4e позволит TSMC выпускать более экономичные чипы Они будут недорогими и массовыми.

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

После перехода на 3-нм техпроцесс чипы ощутимо подорожают TSMC фактически монополизирует рынок на какое-то время.

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

AMD будет полагаться на передовые техпроцессы TSMC в будущем Чтобы не уступать Intel.

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

Западные аналитики: Украина потеряла 25% самых мощных танков Leopard 2A6 и Strv 122 Отдельно отмечается, что из-за погодных условий наступления с обеих сторон замедлится, а значит ракетных ударов со стороны РФ станет намного больше

После взятия главных высот Очеретино перед российскими войсками открылись возможности по наступлению Украинская сторона предполагает, что подразделения дальше двинутся в сторону ПГТ Прогресс и реки Волчья

Инновационный тип краски снизит массу самолётов на сотни килограммов Японские физики из Университета Кобе разработали идеально круглые кремниевые наночастицы, которые можно использовать в качестве основы для производства сверхлёгких красителей для печати ярких цветных изображений, не выцветающих с течением времени

Ученые сделали прорыв в миниатюризации квантовых технологий Ученые из Бристольского университета совершили прорыв в области квантовых технологий, интегрировав самый маленький в мире детектор квантового света на кремниевый чип. Это исследование стало важным шагом на пути к созданию практичных квантовых компьютеров и систем связи.

X-Silicon анонсировала RISC-процессор с видеокартой и нейромодулем Стартап X-Silicon Inc. (XSi), основанный бывшими экспертами из Кремниевой долины, представил инновационный процессор RISC-V, который способен одновременно обрабатывать рабочие нагрузки CPU, GPU и NPU. Этот гибридный чип CPU/GPU основан на открытом стандарте и будет иметь…

Известный оверклокер купил топовый чип Core i9 в Китае и стал жертвой мошенничества Эта история произошла с одним из лидеров мирового рейтинга оверклокеров Safedisk. Купленный им процессор Core i9-14900K оказался без кремниевого чипа внутри. Вскрыв корпус, он обнаружил под крышкой лишь пустоту.

Опубликованы качественные изображения iPhone 16 Pro Max в высоком разрешении После появления точных чертежей iPhone 16 Pro Max известный инсайдер Ice Universe опубликовал еще несколько качественных рендеров нового флагмана Apple. Ранее стало известно, что iPhone 16 Pro Max получит экран с рекордно узкой рамкой, а чертежи подтвердили, что рамка э...

Видеоускоритель Nvidia GeForce RTX 4070 Super (12 ГБ): теория и архитектура, описание карты Palit, синтетические и игровые тесты, выводы Производительность Nvidia GeForce RTX 4070 Super (12 ГБ) находится между GeForce RTX 4070 и GeForce RTX 4070 Ti, ближе к последнему. Он призван заполнить пробел между этими картами, потому что разница в их производительности была весьма существенной, и это выглядело очень ст...

Илона Маска обязали явиться в суд из-за покупки X/Twitter Федеральный судья обязал Илона Маска снова дать показания в ходе расследования Комиссии по ценным бумагам и биржам США по поводу его покупки Twitter за 44 миллиарда долларов. Приказ мирового судьи США Лорел Билер, изданный в субботу вечером, официально закрепил предвари...

ИИ перетянул одеяло финансирования у квантовых компаний Согласно новому отчету The Quantum Insider, компании, занимающиеся квантовыми вычислениями, получили значительно меньше венчурного финансирования в 2023 году по сравнению с 2022 годом, поскольку инвесторы переключили свое внимание на генеративный ИИ и стали осторожнее относи...

[Перевод] Когда парное программирование не работает Экстремальное программирование включает более 10 разнообразных приемов — TDD, игра в планирование, «заказчик всегда рядом» и т.д. Сегодня речь пойдет о парном программировании. Предлагаем читателям блога beeline cloud поделиться своим мнением об этом приеме! Практиковали ли ...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

Это Polestar Phone — первый смартфон производителя премиальных автомобилей Polestar, производитель электромобилей, объявил о скором выпуске своего дебютного смартфона Polestar Phone. Телефон, позиционируемый как флагман с искусственным интеллектом, будет официально представлен на мероприятии 23 апреля. Компания заявляет, что дизайн телефона я...

Четвёртый клиент Intel на техпроцесс 18A связан со сферой высокопроизводительных вычислений Имя его пока не раскрывается.

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

SK Group: переход на новые техпроцессы теперь снижает себестоимость чипов от силы на 10% Затраты растут.

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

Qualcomm не закрыла тему заказа в производство чипов компании Samsung По слухам, её интересует 2-нм техпроцесс южнокорейского подрядчика.

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

Руководство Huawei предлагает смириться с тем, что китайским производителям не покорится 3-нм техпроцесс Да и с 5-нм есть вопросы.

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

Ускорители NVIDIA Rubin будут выпускаться по 4-нм техпроцессу компанией TSMC Старый конь и в 2026 году борозды не испортит.

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

В России создали первую партию алмазных пластин с заданными свойствами Учёные научно-образовательного центра (НОЦ) «Российская Арктика» в Архангельске создали первую опытную партию из 25 монокристаллических искусственных алмазных пластин с заданными параметрами. По словам научного руководителя НОЦ Марата Есеева, выпуск первой п...

Кировские нейрохирурги провели краниопластику с использованием 3D-моделирования и 3D-печати С помощью 3D-печатной модели черепа, подготовленной по данным компьютерной томографии, врачам Кировской областной клинической больницы удалось точно подогнать титановые пластины и закрыть два обширных дефекта.

«Мы будем усердно работать над этим», — рамка Xiaomi 15 будет ещё меньше, чем у Xiaomi 14 Основатель Xiaomi Лэй Цзюнь опубликовал на своей страничке в Weibo подтвердил, что компания постарается сделать рамку Xiaomi 15 ещё меньше, чем у Xiaomi 14. Напомним, Xiaomi 14 получил рамку шириной 1,61 мм с трех сторон, а подбородок имеет ширину 1,71 мм. Для сравнения...

А так ли нужны Китаю ускорители Nvidia? В Поднебесной насчитали около 20 альтернатив GPU Nvidia для ускорения ИИ Последние американские санкции запретили поставлять в Китай мощные ускорители Nvidia вроде A800 и H800, но так ли они нужны самому Китаю? Бесспорно, эти GPU очень мощные и прямого заменителя этих решений у Китая нет, но это и не значит, что нет никаких альтернатив. Нед...

«Невидимые» разъёмы питания — новый тренд у видеокарт? Colorful представила такие модели в рамках серии Ultra Z Компания Colorful представила видеокарты серии Ultra Z, которые выделяются спрятанными разъёмами питания.   В серию вошли модели GeForce RTX 4060, RTX 4060 Ti и RTX 4070. Все они весьма габаритные (310 мм), но у всех эти размеры используются не только для увеличен...

Samsung Galaxy S24 Ultra обзаведется широкими, но гармонично симметричными рамками У Galaxy S24 Ultra нижняя рамка будет такой же ширины, как и боковые, что однозначно порадует любителей симметрии

Apple запатентовала сенсорную панель для боковых сторон iPhone и iPad Apple получила патент на сенсорную панель, которая может быть интегрирована в боковые стороны iPhone и iPad. Новый дизайн обещает изменить способ взаимодействия пользователей с устройствами, расширив их функциональность.

Разработан кремниевый чип для передовых радио- и фотонных приложений Исследователи из Наноинститута Сиднейского университета разработали небольшой кремниевый полупроводниковый чип, сочетающий в себе электронные и фотонные (световые) элементы, что стало значительным достижением в области полупроводниковых технологий.

Будущее микрочипов определит… способ нарезки кремния Нынешние процессоры работают в ориентации «001», когда транзисторы строятся на верхней грани кремниевой пластины. Но исследования IBM показывают, что переход к ориентации «110» — по сути, вертикальному срезу кристалла — может значительно повысить производительность транзисто...

HMD Global исправила изображения телефонов Pulse и Pulse+ на своем веб-сайте Они продемонстрировали тонкие рамки по всем четырем сторонам, но на самом деле рамка снизу отличается.

Apple внедрит стандарт RCS вместо SMS в 2024 году Google уже готова сотрудничать.

Обновлённые ускорители вычислений AMD Instinct MI350 могут использовать 4-нм техпроцесс Текущая линейка основана на 5-нм.

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

TSMC приступила к выпуску Intel Core Ultra 200V (Lunar Lake) по 3-нм техпроцессу В составе процессоров Lunar Lake будет iGPU на новой графической архитектуре Xe2-LPG.

ByteDance и Broadcom разрабатывают ИИ-чип с использованием 5-нм техпроцесса TSMC ByteDance, материнская компания TikTok, сотрудничает с Broadcom для разработки чипа искусственного интеллекта с использованием 5-нм техпроцесса TSMC.

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

AMD не боится конкуренции с Intel, даже если та достигнет технологического паритета с TSMC Техпроцесс не определяет всё в этом противостоянии.

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

В DigiTimes ожидают серию AI-чипов NVIDIA GB100 на базе 3-нм техпроцесса TSMC в 4 квартале 2024 года NVIDIA стремится завладеть преимуществом в бизнесе ИИ.

В Samsung Galaxy S25 не будет чипов Exynos: все модели получат Qualcomm Snapdragon 8 Gen 4 После запуска серии Galaxy S24 компания Samsung в настоящее время концентрируется на скором анонсе своих будущих складных устройств. Ожидается, что Galaxy Z Fold 6 и Z Flip 6 будут представлены на презентации 10 июля в Париже. При этом Samsung уже начала работу над смар...

Ученые достигли "революции" в производстве солнечных батарей Солнечная энергетика стоит на пороге прорыва. Исследователи разработали новый метод производства солнечных батарей с использованием перовскита — материала нового поколения, который может значительно превзойти традиционные кремниевые панели.

ИИ назвали денежной ямой, которая не окупается Серьезные инвестиции Кремниевой долины в генеративный искусственный интеллект пока не оправдывают себя с финансовой точки зрения. С такими проблемами столкнулся и проект Microsoft Github Copilot, призванный автоматизировать часть рабочих процессов программистов. Несмотря на ...

Vivo X200 и X200 Pro могут стать первыми смартфонами на 3-нанометровой Dimensity 9400 Ожидается, что в октябре Qualcomm анонсирует чипсет Snapdragon 8 Gen 4, а MediaTek собирается представить Dimensity 9400. Инсайдер Digital Chat Station сообщил, что Vivo станет первым брендом, выпустившим смартфон на базе Dimensity 9400. По словам источника, Dimensity 9...

На Восточном собрали космическую головную часть для «Ангары-А5» Пресс-служба Роскосмоса сообщила, что в монтажно-испытательном корпусе космических аппаратов космодрома «Восточный» уже выполнили кантование сборки, подвод створок головного обтекателя и их накатку на разгонный блок «Орион» и полезную нагрузку. П...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Новый тип универсальных тонкопленочных антинакипных выпарных аппаратов Бедой всех теплообменных аппаратов, работающих с накипеобразующими растворами, является постоянный рост солевых отложений (накипи), заставляющий останавливать технологический процесс для химической отмывки или механической очистки.Работая в атомной отрасли, я проектировал ус...

Китай успешно посадил космический зонд «Чанъэ-6» на обратную сторону Луны Если всё пойдет по плану, то «Чанъэ-6» впервые в истории доставит на Землю образцы грунта с обратной стороны Луны

В WhatsApp приходит суперполезная фича, которую Дуров никак не внедрит в Telegram Ждем.

YouTube никогда не станет прежним. Google внедрила в него функции генеративного ИИ Интересненько.

WhatsApp внедрил опцию, которую давно пора добавить в Telegram Ждем!

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

Samsung переименовала свой 3-нм техпроцесс в SF2 для конкуренции с Intel Интересно, что реально никаких технических изменений не произойдет, сменится лишь название с SF3 на SF2

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

Востребованность старых техпроцессов в этом полугодии снижается Казалось бы, дефицит миновал, но на передний план выходят другие проблемы.

Несмотря на все американские санкции китайская SMIC уже готовится к освоению 3-нм техпроцесса Однако некоторые отраслевые эксперты сомневаются в её возможностях.

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

TSMC представила обновленную информацию о 2-нм техпроцессе, который появится в 2025 году Этот техпроцесс основан на технологии GAA (Gate-All-Around) и предоставляет огромный прирост производительности.

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

TSMC запустила производство 3-нм чипов для компании Intel Процессоры Arrow Lake для настольных ПК и Lunar Lake для мобильных устройств будут использовать 3-нм техпроцесс TSMC.

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

14 популярных воздушных кулеров для вашего процессора Современные башенные воздушные кулеры различаются как строением радиатора, количеством тепловых трубок, материалами, наличием теплосъёмной пластины или её отсутствием, качеством изготовления, так и моделями используемых вентиляторов и даже особенностями монтажа в системе. ...

Блок питания для топовых игровых сборок с 10-летней гарантией. Обзор ASUS TUF Gaming 1000W Новые блоки питания серии TUG Gaming включают источники питания от 750 до 1200 Вт. Они идут с сертификатом 80 Plus Gold и соответствует требованиям Intel ATX 3.0. С учетом даты выхода здесь ожидаемо идет 16-контактный 12VHPWR, из особенностей выделяется полностью модульная к...

Макс Скибинский, предприниматель, ангельский инвестор и бизнес-ментор Макс живет в Кремниевой долине более 18 лет, за это время он успешно прошел Y-combinator, создал 4 компании-стартапа, последнюю из которых успешно продал. Первый стартап он создал в 90-х, и его клиентами были Netscape, AOL, Celera Games, Electronic Arts. Затем Макс создал ...

На Землю доставлены первые образцы грунта с обратной стороны Луны Впервые китайское космическое агентство успешно доставило на Землю лунные образцы, собранные на загадочной обратной стороне Луны.

Никакого местного правительства: миллиардеры решили построить город в Калифорнии Гиганты Кремниевой долины мечтают о большом городе в самом сердце Калифорнии, но выяснилась одна важная деталь: у него не будет местного правительства. В связи с этим возникает множество вопросов о том, как будет функционировать этот амбициозный проект и кто будет им управля...

Обзор смартфона Infinix Zero 30 4G Везет мне последнее время на тестирование достаточно необычных и стильных смартфонов, например, realme 11 Pro и даже Infinix Note 30 VIP Racing Edition. И вот, сегодня ещё одна новинка от Infinix под стать этим весьма интересным аппаратам – Infinix Zero 30. Тут стоит огово...

В Иране начали выпускать кроссовер Iran Khodro Reera, очень похожий на Hyundai Santa Fe Представленный в сентябре прошлого год иранский кроссовер Iran Khodro Reera запущен в производство. Пока – в тестовом режиме, но полноценное производство начнется ближе к маю, тогда же стартуют продажи. Внешне Reera очень похож на Hyundai Santa Fe прошлого (четве...

Об особенностях электротехнических (и не только) сообществ: опасная сделка 2 Данная статья продолжает цикл о юридических особенностях контрактной разработки электроники, при которой обеими сторонами являются физические лица. Как и в предыдущей статье, будет взгляд со стороны заказчика, со стороны исполнителя, а также комментарии юриста. Подать исков...

Зона высоких технологий Ляочэн: инвестиции в развитие отраслевой цепочки машиностроения Делегация компании Taixing Industry (Thailand) Co., Ltd. во главе с заместителем генерального директора г-ном Эдди (Eddie) посетила зону высоких технологий Ляочэн (Liaocheng High-tech Zone). Стороны подробно обсудили вопросы НИОКР и производства нового интеллектуального обор...

Apple внедрит нереально крутую функцию Safari в iOS 18 Ждём WWDC.

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Аппаратной основой Samsung Galaxy S26 может стать 2-нм чип Samsung Tethys Samsung активно работает над 2-нанометровым техпроцессом и планирует запустить его раньше TSMC.

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

Samsung Foundry всеми силами старается заполучить заказы на следующие GPU Nvidia Samsung предпринимает все возможные действия для заключения контракта с Nvidia на техпроцесс 3 нм

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

Ракета с грузовым кораблём «Прогресс МС-27» установлена на стартовый комплекс Байконура Как мы уже писали, сегодня, 27 мая, была собрана ракета-носитель «Союз-2.1а» с грузовым кораблём «Прогресс МС-27». Сегодня же её транспортировали на стартовый комплекс 31-й площадки космодрома Байконур. Затем ракету установили в вертикальное поло...

Новый вариант обдува для ZAV MINI: версия 4.2.9, завершающая Доделал свой обдув до версии 4.2.9.В этой версии геометрия корпуса обдува максимально адаптирована ко всем версиям балок и пластин ZAV (ZAV3D дал мне все необходимые для этого модели, за что ему отдельное спасибо).И на этом, пожалуй, остановлюсь, пора сказать: «Горшочек, не ...

Стартовала первая в истории миссия по возврату образцов грунта с обратной стороны Луны 3 мая Китай запустил миссию «Чанъэ-6», которая совершит посадку на обратной стороне Луны, соберет образцы грунта и вернет их на Землю. Такого пока не делал никто в мире

Анимация на колесных дисках с беспроводной передачей питания Приветствую, опишу свой опыт создания анимации на вращающихся колесах движущегося автомобиля. Одно время в интернете пошла мода на светящиеся колеса с анимацией на автомобилях, велосипедах и другой подобной техникой. Но питание там везде было на батарейках или аккумуляторах....

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

GlobalFoundries попала в ловушку старых техпроцессов и начала терять заказы клиентов По этой причине она теперь сконцентрируется на автомобильном сегменте.

Бельгийская Imec получит $2,7 млрд на создание пилотной линии по выпуску чипов На ней будут обкатываться техпроцессы с нормами менее 2 нм.

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Samsung представила свою новую дорожную карту, отражающую планы по выпуску высокотехнологичных чипов Компания планирует выпустить чипы с техпроцессами 2-нм и 1,4-нм в 2027 году

Руководитель отдела разработки Intel подтвердил, ядра Raptor и Meteor Lake архитектурно очень похожи Преимущество достигается за счёт эффективности техпроцесса Intel 4.

В WhatsApp намерены внедрить нейросеть Meta AI Meta AI - это искусственный интеллект, разработанный Марком Цукербергом.

Социально-этичный маркетинг: в чём суть и как внедрить концепцию? Разбираются эксперты из Calltouch.

До конца 2027 года Intel освоит выпуск чипов по технологии Intel 10A И внедрит на предприятиях роботов.

Apple удивит всех возможностями iOS 18 и iPhone 16 Apple собирается внедрить технологии искусственного интеллекта.

«Госуслуги» внедрят технологии ChatGPT для консультации граждан «Роботу Максу» придётся подвинуться.

В России появился мобильный робот-пожарный Его уже внедрили на нескольких АЭС.

Security Week 2342: беспарольный вход по умолчанию в сервисах Google На прошлой неделе компания Google сообщила о том, что теперь для входа в сервисы компании опцией по умолчанию будут парольные ключи. Такие ключи (passkey) — это шаг в сторону полного отказа от паролей в сервисах компании. Данная фича была внедрена весной этого года, а теперь...

Темная сторона экологических движений Текущий конфликт на Ближнем Востоке выявил темную сторону экологических общественных движений. Антисемитские комментарии некоторых экоактивистов, которые все чаще звучат в последнее время, не ставят под сомнение важность защиты климата в целом.

23,8 дюйма, 100 Гц, 4 мс, HDR, рамка 1 мм — за 74 доллара. Представлен игровой монитор AOC 24E11XH Компания AOC выпустила бюджетный игровой монитор 24E11XH с разрешением 1080P и частотой обновления 100 Гц. Цена новинки составляет всего 74 доллара. Экран с трёх сторон окружен рамкой шириной 1 мм, его 23,8-дюймовая панель характеризуется разрешением 1920 х 1080 пиксел...

Китайский аппарат с искусственным интеллектом сделал снимки обратной стороны Луны Китайский космический аппарат «Chang'e 6» совершил посадку на обратной стороне Луны и успешно собрал образцы. Конечным пунктом назначения этих образцов теперь будет Земля, но Китай также поделился впечатляющими фотографиями обратной стороны Луны.

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

MediaTek представила чипы Dimensity 7300 и Dimensity 7300X с возможностью работы с двумя дисплеями Dimensity 7300 и Dimensity 7300X изготовлены по 4-нм техпроцессу и, как сообщается, обеспечивают на 25% более низкое энергопотребление производительных ядер по сравнению с Dimensity 7050.

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Apple работает над дизайном чипов на базе 2-нанометрового техпроцесса TSMC Apple имеет тесные отношения с TSMC и получает преимущественный доступ к их производственным услугам

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

По слухам, 3-нм Snapdragon 8 Gen 4 откажется от эффективных ... Давно известно, что как Snapdragon 8 Gen 4, так и Dimensity 9400 будут массово производиться по 3-нм техпроцессу TSMC. Но сегодня стало известно некоторые сведения о будущих флагманских процессорах...

В приложении «Погода» для Windows 11 стало больше рекламы Около года назад Microsoft выпустила обновлённое приложение «Погода» для Windows 10 и Windows 11, которое стало выглядеть чуть более современным за счёт нового дизайна веб-страницы, отображающейся внутри компонента WebView2. Вместе с этим компания решила добавить рекламные ...

Apple просто обязана внедрить эту возможность в iOS 17.4. Иначе ей не поздоровится Ждем и надеемся!

Apple внедрит ИИ во все свои устройства и многие сервисы Нейросеть появится в ОС, Siri, Apple Musiс, Pages и Keynote.

Apple собирается внедрить дисплеи Apple LTPO OLED во все модели iPhone 17 Поддержка ProMotion будет во всех аппаратах iPhone 2025 года

В Москве объединили смартфон и умные часы одним номером — в рамках единого счёта Российский оператор «МегаФон» объявил о запуске удобного сервиса в Москве. В сентябре оператор первым в России внедрил технологию, которая позволит автономно использовать умные часы без привязки к смартфону. Тогда сервис запустили в Туле и ещё четырёх города...

Фантомное питание для микрофона Привет, Хабр! Большинство распространённых аудиоинтерфейсов имеют всего два входных канала, которые обычно используются как левая и правая половина стереосигнала или два канала моно. Например, для микрофона и гитары. Но если синтезатор или гитарный процессор, который нужн...

Официально: Meizu 21 получит 200-Мп камеру Компания Meizu официально подтвердила информацию о том, что флагманский смартфон Meizu 21 будет оснащен 200-Мп камерой. Речь идет о главном модуле на базе сенсора Samsung ISOCELL, настроенного специально для Meizu 21. Утверждается, что в режиме 200 Мп камера будет снимать бы...

Samsung, а как отличить Galaxy S24 от Galaxy S23? Качественные рендеры Galaxy S24 говорят о том, что Samsung не стала заморачиваться с дизайном Инсайдер Стив Хеммерстоффер (Steve Hemmerstoffer), известный под ником Onleaks, опубликовал первые качественные рендеры смартфона Samsung Galaxy S24. По слухам, линейка Galaxy S24 дебютирует только в январе 2024 года, но оценить дизайн базовой модели серии можно уже пря...

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Линейная сушилка филамента. Нагреватель. Вот  нагреватель воздуха для сушилки филамента или обогрева термобокса: В основе фен для волос мощностью 800вт. Родной, слишком шумный вентилятор заменен на процессорный кулер. Шум прибора сейчас не выше блока питания принтера, совершенно не напрягает. Сразу замечу, что расс...

Китайский автоконцерн VGV рассматривает возможность выпуска своих автомобилей в России Китайский автопроизводитель VGV рассматривает возможность локализации производства своих автомобилей в России, рассказал Максим Железняк — руководитель отдела продаж и маркетинга «Мотор-плейс», официального дистрибьютора VGV в России. По его словам, та...

Китайская миссия на обратную сторону Луны успешно стартовала Китайский космический зонд «Чанъэ-6» призван впервые доставить на Землю образцы горных пород с обратной стороны Луны.

Air New Zealand планирует запустить электрический самолет к 2026 году Эта авиакомпания заявила, что стремится стать первой, которая внедрит электрический самолёт.

Apple рассчитывает внедрить ИИ-систему Google в свои смартфоны По лицензии, потеряв отчасти при этом свою репутацию.

Telegram скоро внедрит собственную валюту Stars Она будет нужна для оплаты покупок в ботах и мини-приложениях.

Apple три года подряд пыталась внедрить поддержку Watch для Android Но не смогла сделать этого и отказалась от этой идеи.

Google мастерски скопирует главную фичу iOS 18 и внедрит её в Android-смартфоны Скорость мангуста.

Steam научился показывать, есть ли в играх поддержка геймпадов PlayStation 4 и 5 Steam внедрил нововведение, которое обрадует владельцев геймпадов Sony.

Представлена tvOS 18 для приставок Apple TV Apple внедрила в свои устройства то, о чём владельцы консолей PS5 и Xbox Series просят уже давно.

Для игры Dragon Prince: Xadia по «Принцу-дракону» проходит софт-запуск на Android Мобильная игра Dragon Prince: Xadia от Netflix всё ближе к релизу — он намечен на 31 июля 2024 года. Пока можно пройти предрегистрацию в App Store и Google Play многих стран, не считая РФ. При этом ранняя версия уже появилась в 2 странах: Австралия и Филиппины. Это стало обы...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Официально: Meizu 21 получит 80-Вт зарядку Компания Meizu раскрыла подробности о флагманском смартфоне Meizu 21, официальный релиз которого запланирован на 30 ноября. Итак, аппарат получит 6,55-дюймовый OLED-экран производства Samsung с разрешением 1,5K, частотой ШИМ 1920 Гц, рамками толщиной всего 1,74 мм с четырех ...

Sun Microsystems: легенда Кремниевой долины, совершившая революцию и канувшая в Лету Sun Microsystems произвела настоящую революцию в сфере вычислительной техники. Всего за десять лет она из небольшого стартапа превратилась в лидера отрасли, выпустила более 10 млн устройств и вошла в рейтинг крупнейших мировых компаний Fortune Global 500. Рассказываем, как е...

Эмад Мостак: визионер или обманщик? Разоблачение создателя Stability AI и Stable Diffusion Человек, изменивший мир ИИ Эмад Мостак — человек, чье имя еще недавно было у всех на устах. Этот 40-летний выходец из бедной семьи бенгальских иммигрантов сумел в кратчайшие сроки взлететь на вершину индустрии искусственного интеллекта и стать одним из самых обсуждаемых пр...

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

HUAWEI представила новую экосистему умных устройств в Дубае 7 мая в Дубае состоялся глобальный запуск новых устройств от HUAWEI. В рамках данного события были представлены: HUAWEI WATCH FIT 3, HUAWEI MateBook X Pro, HUAWEI MatePad 11,5"S и приложение GoPaint собственной разработки. Новая модель смарт-часов HUAWEI WATCH FIT 3 получи...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Китайский зонд «Чанъэ-6» отправился на обратную сторону Луны за образцами грунта 3 мая 2024 года Китай успешно запустил лунный зонд «Чанъэ-6», который совершит посадку на обратной стороне Луны, соберёт образцы грунта и доставит их на Землю в течение двух месяцев.

«Сбер» будет внедрять оплату проезда в общественном транспорте по геолокации «Сбер» собирается внедрить оплату проезда в общественном транспорте по геолокации - систему под рабочим названием «Геопей». Об этом рассказал журналистам директор по развитию транспортных проектов компании «Сберинтегро» Максим Исаев в...

Выпрямитель для волос Redmond HS1714: нагрев за 30 секунд, 6 режимов работы и плавающие пластины с керамическим покрытием Выпрямитель Redmond HS1714 превосходно справляется с укрощением густых вьющихся волос за довольно короткое время. Стайлер готов к работе через 30 секунд, имеет удобную наглядную шкалу индикации нагрева и широкий диапазон настроек температуры, благодаря чему его без опасения ...

Только флагманы, только хардкор: линейка Redmi K80 останется без дешевой версии K80e Xiaomi работает над смартфоном Redmi K80, который вскоре должен появиться на внутреннем рынке бренда, в Китае. Новость поступила от информатора Smart Pikachu, который поделился информацией в Weibo. Инсайдер заявил, что работа над серией Redmi K80 продвигается быстрыми т...

Китайские производители чипов начали снижать цены, чтобы привлечь тайваньских клиентов Экспансия зрелых техпроцессов в Китае начинает беспокоить зарубежных конкурентов.

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Планшет Digma Pro Hit 108E: бюджетное устройство с некоторыми сюрпризами Пожалуй, главная привлекательная сторона нового планшета Digma Pro — умеренная цена. Ее нельзя назвать совсем уж низкой, но все-таки в комплекте идут чехол, переходник для проводных наушников, адаптер питания с поддержкой быстрой зарядки (сами по себе они стоят копейки, но в...

В iOS 18 Apple внедрит функции искусственного интеллекта на устройствах Ожидается, что Apple представит функции искусственного интеллекта на устройствах в iOS 18, как сообщает Марк Гурман из Bloomberg.

«Ростелеком» собирается внедрить собственного «цифрового сотрудника» к 2030 году Сокращать рабочие места провайдер пока не собирается. Пока.

Siri на iPhone и iPad заговорил на русском. На каких устройствах это работает? В последнем обновлении iOS 17, Apple внедрила неожиданную функцию для российских пользователей iPhone и iPad.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)