Социальные сети Рунета
Вторник, 2 июля 2024

Nissan начнет массовое производство электромобилей с новыми батареями Nissan планирует начать массовое производство электромобилей на основе новых батарей к началу 2029 года. Компания видит шанс догнать и возможно опередить конкурентов с помощью более мощных, дешевых, безопасных и быстрых в зарядке батарей нового поколения.

Samsung стремится обойти Intel в гонке за технологию стеклянных подложек Samsung планирует начать массовое производство продукции с использованием технологии стеклянных подложек в 2026 году, опередив Intel, который ориентируется на 2030 год. Новая технология обещает революцию в производстве полупроводников, обеспечивая более компактные, производи...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Intel хочет быть впереди всех. Компания выкупила все новейшие машины ASML для литографии EUV с высокой числовой апертурой до конца года Компания Intel сделала большую ставку на технологию литографии EUV с высокой числовой апертурой. Настолько большую, что выкупила у ASML все соответствующие машины, которые голландская компания построит до конца текущего года.  На самом деле в абсолютном выражении ...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Samsung представит смартфон с собственным генеративным ИИ На днях Samsung Electronics огласила результаты третьего квартала 2023 финансового года. А теперь стало известно, что на конференции, посвящённой квартальному отчёту, компания раскрыла свои планы относительно использования генеративного искусственного интеллекта в смарт...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Samsung готовит производство чипов на 1 нм техпроцессе Если верить информации западных инсайдеров, компания Samsung Foundry планирует представить свой передовой технологический процесс в 1 нанометр в следующем месяце с запуском массового производства к 2026 году. Соответственно, пока Intel и TSMC занимались своими собственными т...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Высокий процент брака и плохая энергоэффективность. У Samsung большие проблемы с 3-нм техпроцессом Ранее ходили слухи, что Samsung добилась успеха со своими 3-нм техпроцессами, а разработка 2-нм техпроцессов продвигается гладко. Но, по данным Businesskorea, 3-нм техпроцесс Samsung Foundry по-прежнему значительно уступает технологиям TSMC как по проценту бра...

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Intel хвалит свои техпроцессы, но новые CPU Lunar Lake будет полностью производить на мощностях TSMC Компания Intel раскрыла некоторые подробности о малых ядрах процессоров Lunar Lake.  Lunar Lake ожидаются ближе к концу года. Эти процессоры с самого начала создавались с упором на максимальную энергоэффективность, поэтому малым ядрам тут уделено много внимания.&n...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Китайцам удалось разработать и выпустить самую емкую в мире флеш-память. В чем прогресс? О компании YMTC мы несколько раз уже писали на Хабре. Например, в этой статье мы показали, как санкции США ударили по производству китайских чипов памяти. Тем не менее, бизнесу в КНР, связанному с производством электроники, удается не только выживать, но и реализовывать вес...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Intel нахваливает свой новый техпроцесс Intel 3, но процессоры Lunar Lake отдала на производство TSMC Вчера мы узнали, что TSMC приступила к массовому производству процессоров Intel Lunar Lake, основная плитка которых производится по техпроцессу 3 нм. При этом и сама Intel начала выпуск по нормам Intel 3, которые, как минимум согласно своему названию, должны быть сходны...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Corolla и тут лидирует. Toyota назвала самые продаваемые модели с МКПП Toyota GR Corolla стала бестселлером, о чем заявил производитель. За первый полный год продаж в США Toyota продала 5567 единиц полноприводного горячего хетчбэка. Это лишь малая часть продаж Corolla в 232 370 экземпляров в прошлом году, но GR Corolla легко превзошла свое...

Китайские производители представили свои новые модели электромобилей на выставке в Гуанчжоу Компании стремятся опередить главного американского конкурента в лице Tesla

Клиенты не хотят прожорливые чипы Samsung и заказывают у TSMC даже после повышения цен Цена 3-нм чипов производства TSMC выросла на 5%, но несмотря на это, заказов по-прежнему не хватает. Аналитики говорят, что клиенты отдают предпочтение надежности и качеству, а не цене. Ожидалось, что что после повышения цен TSMC компания Samsung переманит некоторых кли...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

Xiaomi бросает вызов Tesla, выпуская по одному SU7 каждые 76 секунд Китайская компания Xiaomi, известная своими инновациями в сфере электроники, раскрыла подробности о передовых производственных процессах, которые она использует для выпуска своего электрического внедорожника SU7. Xiaomi бросает вызов лидерам рынка, демонстрируя высокую степе...

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

AMD возможно планирует перенести производство GPU Radeon на более дешевый техпроцесс Samsung По словам @Tech_Reve, Team Red диверсифицирует свою цепочку поставок, перенеся производство своих недорогих APU Ryzen (и Athlon) на более дешевый техпроцесс Samsung.

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Огромный 14-дюймовый экран, игровой iGPU, четыре динамика и 32 ГБ ОЗУ. Рассекречен планшет Minisforum V3 Компания Minisforum раскрыла подробности о своём первом планшете под названием V3. Напомним, устройство стало первым среди анонсированных планшетов на основе Ryzen 8000.  Производитель не называет модель процессора, но говорит о техпроцессе 4 нм, 12 блоках CU для ...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Мощная как NVIDIA RTX 4090D, но дороже $1000: появились подробности неанонсированной видеокарты RTX 5080 Портал Hardwaretimes, ссылаясь на информацию от инсайдера Moore’s Law is Dead, раскрыл детали ожидаемой видеокарты NVIDIA RTX 5080, которая обещает стать мощнее RTX 4090D, но также и дороже.

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Глава Intel раскрыл подробности производства TSMC: N3 для Arrow Lake и N3B для Lunar Lake Глава Intel, Пэт Гелсингер, раскрыл некоторые подробности о производстве TSMC

«Для Huawei невозможно догнать наши технологии», — TSMC не рассматривает Huawei как конкурента TSMC, ведущий мировой производитель чипов, прокомментировал потенциальную угрозу, исходящую от недавних достижений Huawei в разработке чипов. Ссылаясь на технологический разрыв и экспортные ограничения США, руководители TSMC выразили уверенность в сохранении своего доми...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Китайский автопроизводитель может опередить всех в создании технологии твердотельных батарей Принадлежащая китайскому государству компания SAIC готовится начать промышленное производство твердотельных батарей для электромобилей

Выпущена Orange Pi Neo – портативная консоль на AMD Ryzen 7 за $500 Компания Orange Pi представила свой первый игровой портативный компьютер Orange Pi Neo в феврале этого года. Хотя на презентации производитель раскрыл большую часть подробностей об устройстве, тогда компания не раскрыла информацию о стоимости консоли. ОсобенностиOrange…

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Huawei ответила на американские санкции процессорами Kirin 9000SL 5G и Kirin 8000 5G. Это первые за почти 5 лет собственные SoC Huawei c 5G для смартфонов Инсайдер Digital Chat Station раскрыл подробности об «антисанкционных» однокристальных системах Huawei, которые будут использоваться в смартфонах линейки nova 12. По данным инсайдера, в топовой модели Huawei nova 12 Ultra пропишется SoC Kirin 9000SL 5G, а H...

Первые сведения о Samsung Galaxy Ring после события Unpacked Samsung сообщила немного подробностей о своем Galaxy Ring, но это еще одно доказательство того, что 2024 год станет годом конкурентов Oura Ring и вот детали.

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Конкурент ChatGPT Anthropic выпустила более мощную модель ИИ Компания Anthropic выпустила новые более мощные модели ИИ для своего чат-бота

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

Samsung может переоборудовать фабрику в Техасе для производства чипов по 2-нанометровой технологии Ранее компания планировала запустить на данном предприятии производство 4 нм чипов.

Кроссоверы Jetour начнут выпускать в Калининграде. Первая модель — Jetour Dashing Drom.ru раскрыл подробности запуска производства кроссоверов Jetour в России. По словам заместителя генерального директора «Джетур Мотор Рус» Михаила Роткина, выпуском автомобилей китайского бренда займется калининградский «Автотор» — он же...

Оказалось, что технология апскейла Apple MetalFX основана на AMD FSR Технология апскейла Apple MetalFX, как оказалось, основана на разработках AMD. создано DALL-E Документы Apple раскрыли подробности о MetalFX, согласно которым, опирается эта технология на AMD FSR. Последняя является технологией с открытым исходным кодом, так что Apple,...

Snapdragon 8 Gen 3, 144 Гц, IP68, 5400 мА·ч, 120 Вт. Раскрыты характеристики iQOO 12 Pro – конкурента Xiaomi 14 Pro и Samsung Galaxy S24 Ultra Инсайдер Digital Chat Station раскрыл подробности о будущем флагмане iQOO 12 Pro. Судя по приведенным характеристикам, из iQOO 12 Pro получится сильный конкурент более именитым моделям вроде Xiaomi 14 Pro и Galaxy S24 Ultra. Как и многие флагманы новой волны, iQOO 12 P...

Samsung выпустит странного конкурента iPhone 16 и лице недорого складного смартфона начального уровня По данным The Elec, что Samsung хочет сделать новый складной смартфон начального уровня привлекательной альтернативой базовому iPhone 16. Издание со ссылкой на источники в цепочке поставок, утверждает, что Samsung ускорила график производства компонентов своих складных ...

Samsung хотела бы внедрить 1-нм техпроцесс в 2026 году Чтобы хоть в чём-то опередить TSMC и Intel.

Новый процессор для Android-флагманов Dimensity 9300 оказался мощнее чипа в iPhone 15 Pro Max. Но есть нюанс В мире высоких технологий новый поворот: процессор Dimensity 9300 для Android-флагманов продемонстрировал впечатляющую мощность, опередив чип, используемый в iPhone 15 Pro Max.

Xiaomi опередила Apple, Samsung и всех остальных конкурентов по темпам роста и впервые попала в топ лидеров рынка планшетов В третьем квартале 2023 года планшеты Xiaomi впервые попали в пятерку лидеров с годовым темпом роста 119,7%, о чем говорится в исследовании Canalys. Xiaomi стала лидером по темпам роста. Согласно отчету, производители смартфонов также активизировались на рынке планшетов...

Qualcomm Snapdragon X Elite опередил Intel Core Ultra 7 155H в тестах с ИИ Передовой процессор Qualcomm Snapdragon X Elite предназначен для использования в ноутбуках нового поколения и появится в продаже примерно в середине 2024 года, но перед тем, как отправить решение в релиз, Qualcomm решила немного похвастаться возможностями чипа в области гене...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

MediaTek Dimensity 9300 уничтожит Snapdragon 8 Gen 3. Новые подробности о топовой SoC тайваньской компании от надежного источника Инсайдер Digital Chat Station раскрыл новые подробности о будущей флагманской однокристальной системе MediaTek Dimensity 9300. По его словам, она превзойдет Snapdragon 8 Gen 3 по производительности в бенчмарке AnTuTu в одно- и многопоточных тестах. MediaTek Dimensity 9...

Игровая консоль MSI Claw 8 AI+ станет первой на процессорах Intel Core Ultra серии Lunar Lake MSI опередит конкурентов на рынке мобильных игровых устройств

Huawei сосредоточится на производстве своих ИИ-чипов вместо смартфонов Mate 60 Компания Huawei планирует увеличить производство своего ИИ-чипа Ascend 910B, за счет снижения выпуска смартфонов Mate 60 на одном из своих предприятий. Это решение вызвано низкой производительностью на заводе, где производятся и Ascend и Kirin чипы, используемые в смартфонах...

RISC-V шагает по планете: в Китае появилась еще одна компания по производству чипов. Что о ней известно? В том, что в КНР начнет работу новая компания, разрабатывающая RISC-V процессоры, вроде бы нет ничего удивительного. В стране очень мощная отрасль производства электроники, в ней работают тысячи и тысячи компаний. Но глава этого "новичка" - экс-руководитель "дочки" ARM в Кит...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Toyota снимает с производства GR Supra, но готовит спорткар покруче. Возрожденная Toyota Celica получит 400 л.с. и полный привод Японский ресурс BestCarWeb раскрыл подробности о новой Toyota Celica. Согласно предварительным данным, концепт возрожденной легенды представят на токийском автосалоне в январе 2025 года, ну а серийная модель появится попозже. Что интересно, двигатель для новой Celica уж...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Китайский автопроизводитель BYD выпустит свой первый электрифицированный пикап на мировой рынок Компания уже имеет долю в 33% от китайского рынка и планирует еще потеснить своих конкурентов в лице Toyota, Ford и Isuzu

Разогнанный до 5,7 ГГц Ryzen 5 9600X едва догнал стоковый Core i5-14600K в однопоточной нагрузке Разогнанная новинка от AMD менее, чем на 1% опередила своего конкурента из "стана синих", работающего на дефолтных частотах

Гигантские ИИ-модели и самые производительные чипы: Nvidia выпустила мощный GPU B200 со скромным потреблением энергии Корпорация Nvidia продолжает развивать направление производства чипов для ИИ-отрасли. Сейчас руководитель американской компании заявил о выпуске самого мощного ИИ-чипа в мире. Кроме того, готовы и некоторые модульные системы, базирующиеся на основе GPU B200, так называется н...

Глава OnePlus раскрыл подробности о цветовых вариантах грядущего флагмана OnePlus 12 Компания OnePlus готовится к запуску своего нового флагмана, OnePlus 12, и глава китайского подразделения компании Ли Жэ раскрыл некоторые подробности о цветовых вариантах устройства.

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

AMD Ryzen Threadripper 7980X становится самым мощным в мире процессором HEDT AMD Ryzen Threadripper 7980X появился на PassMark и сразу же опередил все чипы EPYC и Xeon на своем пути, уступив только SKU Threadripper PRO 7000

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

«Сбер» решил выпускать телевизоры диагональю до 75 дюймов в Новгородской области. Вся продукция будет проходить строгий контроль качества «Сбер» планирует расширить производство умных телевизоров в России, выбрав для этого Новгородскую область. Об этом сообщает инсайдерский канал «ё-Пром | Импортозамещение в промышленности». Компания намерена локализовать до 50% производства своих ...

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

Xiaomi продаст 100 тысяч SU7 за текущий год Недавно компания Xiaomi официально вышла на рынок автомобилей со своим электрическим транспортным средством SU7, который мгновенно привлёк внимание аудитории благодаря дизайну, характеристикам и низкой стоимости. А теперь производитель заявил, что планирует только за первый ...

Intel собирается выпускать чипы для конкурентов, включая AMD Компания готова делиться своими технологиями с конкурентами

SK Hynix выпустит память GDDR7 в начале 2025 года Судя по информации инсайдеров, компания SK Hynix планирует начать массовое производство своей памяти следующего поколения GDDR7 уже в первом квартале 2025 года, то есть компания немного отстаёт от конкурентов. Первое поколение модулей памяти GDDR7 появилось ещё в этом году, ...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

NASA воспользуется ракетой New Glenn Джеффа Безоса для отправки новой миссии на Марс Двухступенчатую тяжёлую орбитальную ракету-носитель от американского производителя Blue Origin ждёт первая миссия — доставка и запуск двух марсианских зондов Photon под управлением NASA. Компания Джеффа Безоса решила обойти своего конкурента SpaceX, который запустил в космос...

OnePlus раскрыла больше подробностей своего нового шарнира в смартфоне Open Компания выпустила видео в котором конструкция шарниров OnePlus Open полностью видна. Также рассказывается об испытаниях своих смартфонов.

Xiaomi раскрыла новые подробности о грядущем смартфоне Redmi K70E Недавно Xiaomi поделилась захватывающими подробностями о своем новом творении — смартфоне Redmi K70E.

Microsoft пыталась продать Bing компании Apple уже в 2018 году В своем недавнем заявлении в суд компания Google возобновила дискуссию о своем доминирующем положении на рынке веб-поиска, раскрыв ранее неизвестные подробности, связанные с попытками Microsoft наладить партнерские отношения с Apple. Эти подробности всплыли в ходе судебного ...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

Бывший завод Nissan платит сотрудникам в среднем 118 000 рублей. Сборкой российского клона Chery Tiggo 7 Pro занимаются 1300 человек Бывший завод Nissan провёл для журналистов экскурсию по производству автомобилей Xcite, на которой раскрыли подробности о работе площадки. Например, стало известно, что средняя зарплата сотрудника сейчас составляет 118 000 рублей, и за последние два года она уже дважды ...

США лишат китайского производителя чипов CXMT доступа к своим технологиям Планируемые ограничительные меры США могут подорвать развитие полупроводниковой отрасли Китая. Компания CXMT - лишь первая мишень.

ProLogium открыла первый завод по массовому производству твердотельных батарей для электромобилей Тайваньская компания ProLogium открыла завод по производству твердотельных батарей для электромобилей. Mercedes-Benz планирует использовать эти батареи в своих автомобилях к 2030 году.

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Уникальный 3D-музей oVert покажет 13 тысяч животных изнутри Музей Флориды сообщил о завершении формирования первой части цифровой библиотеки oVert, в которой представлены трехмерные изображения 13 тысяч музейных экспонатов. Это различные живые существа: рептилии, птицы, млекопитающие, которых теперь можно увидеть изнутри. Изображения...

Яндекс продаёт свою долю в производстве серверов OpenYard Яндекс и группа компаний «Ланит» выходят из совместного предприятия по производству серверов под торговой маркой OpenYard и продают свои доли группе частных инвесторов. Об этом сообщила пресс-служба Яндекса.  Предприятие сохранит за собой производство ...

Китайские исследователи раскрыли некоторые интересные подробности о новых процессорах Sunway Новинка Sunway SW26010 Pro в четыре раза мощнее предыдущей версии и показывает FP64 на уровне 13,8 ТФЛОПС

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Новые Subaru получат технологии Toyota. Следующий Forester станет «другим гибридным электромобилем с горизонтально-оппозитным двигателем» Subaru официально подтвердила, что производство нового Forester будет вестись в Соединенных Штатах. Модель шестого поколения будет собираться в Индиане на заводе в Лафайете. Выпуск седана Legacy на данном предприятии прекратится после 2025 модельного года. Subaru также ...

Starfield получит поддержку технологии DLSS уже в следующем большом патче Разработчики обещают раскрыть подробности в ближайшее время.

Топовую камеру Honor Magic6 Pro показали в действии Смартфон опередил конкурентов по четкости кадров.

Reuters: Samsung может использовать технологию SK hynix для увеличения производства памяти HBM Ключевая технология, используемая для производства чипов искусственного интеллекта, является причиной того, что крупнейший в мире производитель памяти, корейская компания Samsung Electronics, отстает от конкурентов из своей страны и США

Xiaomi SU7 обретёт умную подвеску и мощную «печку» для суровой зимы Xiaomi раскрыла ещё несколько подробностей о своём электрокаре SU7, и ответила на вопрос о том, будет ли выпускать ещё один автомобиль

Выявление потребностей клиентов через опросы Введение в тему выявления потребностей клиентов через опросы начнем с утверждения, что понимание того, что действительно важно для ваших клиентов, является краеугольным камнем успешного бизнеса. В наш век информационных технологий и высокой конкуренции, ключом к выживанию и ...

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Huawei разрабатывает инструменты для производства современных чипов Источник: atomic-energy.ru Компании Huawei Technologies удалось начать выпуск современных полупроводниковых компонентов по передовому техпроцессу. В этом помогает китайская организация SiCarrier, которая поставляет соответствующее оборудование. Сейчас обе компании стали со...

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Google может перейти на чипсеты TSMC для Pixel 10 Телефоны Google Pixel могут претерпеть значительные изменения в 2025 году, поскольку, по некоторым данным, компания планирует перейти с Samsung Foundry на TSMC для своих процессоров Tensor. Инсайдер Revegnus заявил, что TSMC будет производить чипсеты для серии Pixel 10 и пос...

Глава Intel: Китай становится всё более серьёзным конкурентом. Что происходит? На Хабре довольно часто пишут о развитии китайской индустрии разработки и производства чипов. Поднебесная становится всё более самостоятельной в этом отношении, а именно — в плане выпуска современных процессоров. Толчок развитию дали торговые санкции США, после чего КНР приш...

Память 3D NAND с более чем 1000 слоёв. Kioxia уже планирует выпускать такую память Современная память 3D NAND имеет уже более 200 слоёв. Но в ближайшие годы этот показатель продолжит активно расти. Компания Kioxia, к примеру, говорит о том, что уже планирует массовое производство памяти с более чем 1000 слоями.  фото: Kioxia Ждать, правда, придё...

Samsung готовит мобильные процессора на 3 нанометрах Можно с уверенностью сказать, что компания Apple лидирует в области мобильных чипов для смартфонов и ноутбуков, но конкуренты постепенно догоняют гиганта из Купертино. Например, если верить инсайдерам, компания Samsung готовит свои собственные 3-нм чипы для следующих флагман...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Redmi K70 Ultra получит батарею на 5500 мАч, независимый чип дисплея и Dimensity 9300+ Инсайдеры раскрыли подробности о новом флагманском смартфоне линейки Redmi K70 - модели K70 Ultra. Устройство получит впечатляющие характеристики, включая мощный аккумулятор, быструю зарядку и независимый чип дисплея для повышения производительности.

Бывший сотрудник OpenAI посоветовал компании раскрыть исходных код своего ИИ Бывший специалист по ИИ в OpenAI Джои Берчлер призывает компанию полностью принять принципы открытого исходного кода для своих моделей ИИ, таких как GPT-4. Хотя компания OpenAI была основана с целью принести пользу всему человечеству, в настоящее время она держит свои самые ...

Китайские процессоры становятся все лучше: серверный чип 3C6000 от Loongson соревнуется с AMD Epyc на базе Zen 3 Поднебесная продолжает развивать собственную отрасль разработки и производства электроники. Неплохо себя чувствуют многие компании из Китая, но сейчас речь пойдет о Loongson, которая разрабатывает процессоры на основе собственной архитектуры LoongArch. Сейчас представлен се...

Китай и 5-нм техпроцесс: компании SMIC, скорее всего, удалось освоить новые технологии производства чипов Китайские компании, которые занимаются разработкой и производством электроники, несколько лет находятся под весьма жёсткими торговыми санкциями США. Ряд организаций потерял возможность заказывать чипы у контрактных поставщиков вроде TSMC, что стало очень сильным негативным ф...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Xiaomi Civi 4 получит Snapdragon 7 Gen 3 и поборется с Honor 100.  Первые подробности о будущей новинке Xiaomi Инсайдер Digital Chat Station раскрыл подробности о смартфоне Xiaomi Civi 4. По данным информатора, аппарат будет построен на однокристальной платформе Snapdragon 7 Gen 3, дебютировавшей в ноябре прошлого года. Таким образом, Civi 4 выступит конкурентом Honor 100, котор...

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Ни в Blender, ни в V-Ray новенький Core i9-14900K не может опередить «старый» Ryzen 9 7950X В Сеть попали результаты тестирования процессора Core i9-14900K в задачах, которые действительно умеют загружать современные многоядерные процессоры.  В Blender новинка набирает почти 560 баллов, что на 6% больше, чем у Core i9-13900KS. Это хорошо, если учесть, чт...

Ка-50 «Черная акула» - вертолет, опередивший время В истории военной техники порой встречаются образцы, становящиеся легендарными несмотря на отсутствие серийного выпуска и минимальное участие в боевых действиях. К таким можно отнести и Ка-50 – революционный вертолет, который опередил свое время, но не стал действительно мас...

Как Google скупает потенциальных конкурентов, а затем «убивает» их В судебном документе от 24 января 2023 г., поданном в рамках судебной тяжбы между США и компанией Google, раскрывались подробности о предполагаемых антиконкурентных действиях Google в сфере рекламных технологий, пишет HackerNoon. В документе говорится, что в 2011 году компан...

OnePlus Ace 3 Pro получит 6100 мАч и 100-Вт быструю зарядку OnePlus готовится к запуску своего OnePlus Ace 3 Pro в Китае в июле этого года. По информации Digital Chat Station, Ace 3 Pro будет оснащен батареей сверхвысокой плотности емкостью 6 100 мАч, первой в своем роде в смартфоне. Это позволит Ace 3 Pro намного опередить своих кон...

TCL усовершенствовала свои «бумажные» дисплеи Компания TCL раскрыла спецификации новой версии фирменной технологии NXTPAPER для смартфонов и планшетов

Представлен первый прозрачный дисплей Samsung MicroLED Прозрачные телевизоры позволяют получить новые впечатления от просмотра. Используя эту революционную технологию, компания Samsung Electronics усовершенствовала свою передовую технологию дисплей Micro LED, чтобы расширить возможности пользователей по просмотру контента. ...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Инсайдеры раскрывают подробности о грядущих складных смартфонах Xiaomi - Mix Fold 4 и Mix Flip Компания Xiaomi, по слухам, работает над очередными прорывными складными устройствами. В 2024 году китайский гигант планирует выпустить обновленную версию своего флагманского складного смартфона Mix Fold 4, а также представить первый раскладной телефон под брендом Mix Flip.

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

Сегодня Илон Маск запускает своего конкурента ChatGPT, который «в некоторых важных отношениях будет лучшим, что существует на данный момент» Илон Маск вчера сообщил, что его молодая компания xAI запустит свою систему искусственного интеллекта уже сегодня.  Завтра xAI выпустит свой первый ИИ для избранной группы. В некоторых важных отношениях это лучшее, что существует на данный момент  Jaap Arrien...

Главный конкурент Tank 300 с полным приводом, 245 л.с. и 8-ступенчатым «автоматом». В Китае стартовало производство рамного внедорожника BAIC BJ40 нового поколения BAIC сообщила о начале производства рамного внедорожника BJ40 второго поколения. Выпускать машину будут на головном предприятии в Пекине, там же находится и главный офис компании. Разрешение на производство BAIC BJ40 от китайского Минпрома было получено еще в июне. Офи...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Huawei смогла разработать собственную технологию производства 5-нм чипов. Перспективы нового метода и самой компании Как известно, на Китай наложены торговые санкции, которые не позволяют компаниям из Поднебесной закупать оборудование для производства современных чипов. Поэтому КНР приходится решать проблему налаживания производства процессоров и других современных электронных компонентов...

Первые покупатели начнут получать гуманоидного робота Tesla Optimus уже в 2025 году В мире технологий наступает новая эра: Tesla планирует начать поставки своего первого гуманоидного робота Optimus уже в 2025 году.

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Snapdragon 8 Gen 5 будет дешевле Snapdragon 8 Gen 4? Qualcomm хочет вернуться к Samsung, как второму производителю SoC Однокристальная система Snapdragon 8 Gen 4 еще не была представлена, а в Сети появились новости о Snapdragon 8 Gen 5. Возможно, она будет дешевле Gen 4.  Суть в том, что высокая цена грядущей платформы обусловлена не только лишь какими-то желаниями Qualcomm. Важна...

Qualcomm не закрыла тему заказа в производство чипов компании Samsung По слухам, её интересует 2-нм техпроцесс южнокорейского подрядчика.

AMD пожертвуют большим кэшем для чипов искусственного интеллекта Компания вслед за своими конкурентами стремится делать больший упор на технологии ИИ

Google представил самую большую и мощную ИИ-модель Gemini Компания планирует добавить ее в большинство своих продуктов

Стартап Evolution Space открывает центр производства твердотопливных ракетных двигателей в NASA Stennis Space Center Стартап Evolution Space, занимающийся разработкой твердотопливных ракетных двигателей, подписал соглашение о создании производственно-испытательных операций на космическом центре NASA в Стеннисе. 10 октября компания объявила, что достигла соглашения о создании производс...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Китай приступает к серийному производству 5-нм кристаллов Китай в ближайшее время планирует развернуть серийное производство 5-нм чипов по технологии SAQP

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

Главный конкурент Tesla — BYD — обратил внимание на Таиланд и Индонезию BYD, китайский гигант по производству электромобилей, ускоряет свое расширение в Юго-Восточной Азии: пробное производство стартует в Таиланде, а в Индонезии начнется строительство нового завода.

Honda планирует добиться нулевой смертности в своих машинах Honda в составе совместного предприятия GAC Honda заявила, что она собирается добиться нулевой смертности в своих машинах в результате дорожно-транспортных происшествий к 2050 году. Кроме того, компания планирует достичь углеродной нейтральности на протяжении всего...

В России запустили новую линию по производству филамента для 3D-принтеров Новую производственную линию по изготовлению нитей для 3D-принтеров запустили в Самарской области, о говорится в сообщении пресс-службы правительства. «В Безенчукском районе производитель филамента запустил в эксплуатацию новую производственную линию, которая на с...

Завод по производству агродронов запущен в России ТАСС: В ОЭЗ "Тольятти" открылась первая очередь завода компании "Транспорт будущего" по производству беспилотников. На первом этапе завод будет изготавливать беспилотные авиасистемы (БАС) для сельского хозяйства, мониторинга и доставки. В 2024 году компания планирует изготов...

Командир взвода армии РФ раскрыл подробности охоты на Abrams – танк выслеживали 3 дня Американский танк был подбит при помощи дрона-камикадзе "Ланцет"

Лучше, чем Tesla и Geely: компания Chery продала почти 1,9 млн автомобилей за год, лидируя по экспорту китайских машин уже 21 год подряд Компания Chery объявила сегодня вечером в своем публичном отчете о том, что в декабре 2023 года она продала 215 690 автомобилей, при этом продажи увеличивались все 12 месяцев подряд. Совокупный объем продаж ща 2023 год составил 1 881 316 автомобилей. Годовой рост состав...

Tesla планирует перейти на 3-нм чипы TSMC в 2024 году Компания TSMC получила заказ от Tesla на производство чипов для автопроизводителя на своем заводе в Аризоне.

Samsung хочет опередить Intel и первой выпустить частично стеклянные процессоры. Компания начала разработку стеклянных подложек для своих продуктов Компания Samsung решила ввязаться в гонку с Intel и тоже перейти на использование стеклянных подложек в своих чипах.   Как сообщается, дочерней компании Samsung Electro-Mechanics было поручено начать научно-исследовательские разработки в этом направлении. При этом...

Samsung представила свою новую дорожную карту, отражающую планы по выпуску высокотехнологичных чипов Компания планирует выпустить чипы с техпроцессами 2-нм и 1,4-нм в 2027 году

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Китай начинает и выигрывает? Huawei и SMIC запатентовали собственный 3-нм техпроцесс У КНР, в целом, всё неплохо с индустрией разработки и производства электронных чипов. Если бы не одно «но»: большинство компаний из Поднебесной, которые этим занимаются, находятся под санкциями. Так что они не могут официально получать системы для производства чипов. И чем д...

Опубликовано первое живое фото и характеристики недорогого Nothing Phone 2a Инсайдер Йогеш Брар раскрыл первые подробности о новом смартфоне Nothing Phone 2a, который обещает стать самым доступным устройством в линейке бренда.

Asus раскрыла подробности о новом игровом смартфоне ROG Phone 8 Компания Asus, одна из немногих, которая всерьез относится к своим игровым смартфонам, готовится к выпуску нового устройства в этой категории — Asus ROG Phone 8.

В России готовились к выпуску универсала Hyundai Solaris, производству мотора и вариатора Renault, новых Duster и Niva Сергей Цыганов, автоэксперт, инсайдер ведущий Telegram-канала «Русский автомобиль», раскрыл интересные подробности о планах Hyundai и Renault в России, которые так и не удалось реализовать. «А вы знаете, что Hyundai Motor планировала в 2023 году вывест...

V8 и V12 останутся еще надолго: Aston Martin будет выпускать машины с ДВС пока это будет разрешено законом Aston Martin отложила свои планы по выпуску своего первого электромобиля с 2025 на 2027 год и соответственно увеличила инвестиции в гибридную технологию. По словам исполнительного председателя Aston Martin Лоуренса Стролла, «всегда будет спрос» на автомобили...

SK hynix планирует начать массовое производство GDDR7 в первом квартале 2025 года Образцы памяти для тестирования у компании уже есть.

Компания Honor официально представила свой первый раскладной смартфон Magic V Flip Компания Honor анонсировала свой первый смартфон-раскладушку с гибким экраном Magic V Flip, который станет конкурентом для Samsung Galaxy Z Flip 5 и других аналогичных устройств. Новинка оснащена мощным процессором, высококачественными камерами и поддерживает быструю зарядку...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Microsoft стала самой дорогой компанией в мире, опередив Apple Microsoft завершила прошлую пятницу в статусе самой дорогой публичной компании в мире, оттеснив с первого места своего давнего конкурента Apple. Рыночная капитализация технологического гиганта достигла $2,89 трлн, в то время как цена Apple упала до $2,87 трлн. Большая ч...

TSMC запустила производство 3-нм чипов для компании Intel Процессоры Arrow Lake для настольных ПК и Lunar Lake для мобильных устройств будут использовать 3-нм техпроцесс TSMC.

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Инсайдер раскрыл подробности о камерах Exmor T в Sony Xperia 1 VI Инсайдер с говорящим ником @InsiderSony опубликовал первые подробности о следующем флагманском смартфоне Sony Xperia 1 VI

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

SK Hynix Inc. вложит еще $14.6 миллиардов в расширение своих производственных мощностей Компания планирует окупить свои вложения за счет дальнейшего развития технологий искусственного интеллекта

Metaphyuni представила новый мини ПК Genesis Cube с процессором Ryzen 7 8845HS Благодаря режиму TDP 80 Вт мини ПК мощнее и производительнее своих конкурентов с аналогичным оснащением.

Intel представила свои новые системы для технологий искусственного интеллекта Компания планирует навязать конкуренцию в данной отрасли таким компаниям как Nvidia и AMD

Microsoft обгонит Apple и станет самой крупной компанией на планете уже в 2024 году Microsoft готовится опередить Apple и стать самой крупной компанией в мире уже в 2024 году. За последнее десятилетие Apple удерживала лидерство по капитализации, но Microsoft планирует изменить ситуацию.

AMD раскрыла тактовые частоты «малых» ядер Zen 4C в процессорах Ryzen Выполняя своё обещание, чипмейкер раскрыл подробности о технических характеристиках фирменных чипов с гибридной архитектурой

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

BYD выбрала страну для своего первого европейского завода по производству автомобилей BYD планирует построить свой первый европейский автомобильный завод в Венгрии, что является частью плана китайской компании, которая планирует бросить вызов Tesla в новом регионе. Завод в южном городе Сегед будет производить электромобили и подключаемые гибриды для евро...

В «ТехноСпарке» собрали самый мощный экзоскелет в России Столичная компания TEN group (входит в контур компаний группы «ТехноСпарк») приступила к производству экзоскелетов с номинальной грузоподъемностью до шестидесяти килограмм, с помощью которых работники предприятий смогут поднимать, переносить и удерживать предметы.

DigiTimes: TSMC начала массовое производство 3-нм кристаллов для новых процессоров Intel В частности, Intel выбрала 3-нм техпроцесс TSMC для производства вычислительной «плитки» будущих процессоров Core Ultra 200 семейства Lunar Lake.

Tesla объявила дату первых поставок Cybertruck — 30 ноября 2023 года Компания планировала начать массовое производство модели ещё в 2021 году.

NVIDIA прекратила производство видеокарт GTX 16 Сегодня появилась информация о том, что компания NVIDIA официально завершила производство своих графических процессоров серии GeForce GTX 16, последних из линейки GTX для массового рынка. Собственно, ещё в декабре прошлого года появилась информация от инсайдеров о том, что N...

Первый гибрид Evolute называется i-Space Как мы уже писали, российская компания Evolute анонсировала выпуск своего первого гибридного автомобиля. Затем по тизерному изображению новинки опознали китайский кроссовер Dongfeng Fengon E5 — предположили, что именно его версию в России будут собирать под б...

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Wildberries планирует открыть «пункты выдачи заказов будущего» Онлайн-ритейлер Wildberries собирается открыть первые «пункты выдачи заказов будущего» с технологией «умной выдачи». Об этом рассказала гендиректор Wildberries Татьяна Бакальчук в преддверии Петербургского международного экономического форума (ПМ...

Анонсирован совершенно новый Kia Tasman — это пикап Kia опубликовала официальный тизер, посвященный первому пикапу. Как и пророчили слухи, машина получит название Tasman. Пикап создается с прицелом на австралийский рынок. Название происходит от Тасманова моря, разделяющего Австралию и Новую Зеландию. Впрочем, Kia Tasman...

Процессор Snapdragon X Elite оказался мощнее решения от Apple Десктопный процессор для ноутбуков Snapdragon X Elite был анонсирован в конце октября, и вскоре после этого компания Apple ответила потенциальным конкурентам своими первыми процессорами на технологическом процессе в 3 нм — M3, M3 Pro и M3 Max. Вскоре после этого представител...

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

«Это очень большой внешний экран». Инсайдер раскрыл подробности о Honor V Flip Подробности о смартфоне Honor V Flip опубликовано осведомленный китайский инсайдер Digital Chat Station. Он заявил, что смартфон изготовлен из высококачественных материалов, что не является сегодня какой-то редкостью. Гораздо интереснее часть про внешний экран. Речь идё...

Samsung начала начала утаивать тип экрана в своих новых телевизорах Два года назад Samsung буквально оживила рынок телевизоров. Дочерняя компания южнокорейского гиганта, Samsung Display, начала производство новейших матриц QD-OLED — полноценного конкурента и замену устоявшихся на тот момент OLED, или WOLED.

Брутально, просто и дешево – и традиционно надежно. Пикап Toyota Hilux Champ в стилистике нового Land Cruiser Prado и с очень простым оснащением запустят в серию Hilux – одна из самых популярных моделей Toyota в своем сегменте, но не сказать, чтобы этот пикап был уж очень дешевым. Поэтому компания подготовила более доступную альтернативу: Toyota Hilux Champ на базе недавно показанного концепта Toyota IMV 0. Когда появилис...

Google хочет сделать поиск «более человечным» с помощью искусственного интеллекта Google планирует использовать технологии искусственного интеллекта (ИИ) для улучшения своей поисковой системы и конкуренции с другими компаниями в этой области.

Xiaomi раскрыла массу подробностей о будущих часах Mi Watch S3 На официальной странице Xiaomi Smart Ecosystem в социальной сети Weibo появились новые подробности об умных часах Xiaomi Mi Watch S3.

Разработчики игры "Война миров: Сибирь" раскрыли некоторые подробности о своем проекте Сюжет игры разворачивается в мире, где Петроград был переименован в Санкт-Петербург задолго до начала Первой мировой войны, а власть в стране принадлежит династии Ромеев. Это смелое отступление от исторической достоверности создает уникальную основу для глубокого и захватыва...

В Canon разработали 5-нм литограф, который стоит дешевле, чем система от ASML. Но есть нюанс В современной отрасли разработки и производства электроники правит балом тот, у кого есть литографы. Можно иметь собственную процессорную архитектуру и продвинутые разработки, как у Huawei, но если нет контрактного производителя микросхем, ничего хорошего из этого не выйдет...

Смартфон Xiaomi 13T Pro: новый флагман от Leica и Xiaomi Недавно компания Xiaomi представила свои первые смартфоны, созданные совместно с компанией Leica: Xiaomi 13T и Xiaomi 13T Pro. В этом обзоре мы рассмотрим Xiaomi 13T Pro, который оснащён топовым процессором от MediaTek, отличными камерами и великолепным дисплеем. Подробности...

АвтоВААЗ перезапустил производство, которое простаивало более восьми лет. Первые машины «ВИС-Авто», собранные в ОПП, уже доставлены дилерам Состоялся перенос автосборочных мощностей компании «ВИС-Авто» на площадку бывшего опытно-промышленного производства АвтоВАЗа, которое простаивало более восьми лет, о чем пишет «Автопоток». АвтоВАЗ перезапустил бывшее Опытно-промышленное производс...

Aurus запустит в Санкт-Петербурге производство премиальных автомобилей на бывшем заводе Toyota Компания Aurus планирует уже до конца 2024 года запустить производство фирменных премиальных автомобилей на бывшем петербургском заводе Toyota, перешедшем во владение Aurus не так давно. Соглашение между правительством Санкт-Петербурга и компанией Aurus подписали на Пет...

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

Intel работает над собственной реализацией технологии генерации кадров для повышения fps в играх Специалисты компании рассекретили некоторые подробности своего нового продукта.

Sitronics собирается приступить к серийной постройке судов на водороде Sitronics Ecocruiser Отечественная Sitronics Group, которая также делает зарядные станции для авто, продолжает тестировать технологии электросудов «водородного» класса и к концу текущего года планирует запустить их массовое производство со сдачей первых корпусов заказчикам ...

Компания GPD представила новый OLED-ноутбук GPD Duo с двумя дисплеями Компания GPD представила первые подробности о своем выходе в мир ноутбуков с двумя дисплеями. Судя по всему, GPD Duo станет "первым в мире" благодаря паре OLED-дисплеев.

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Amstrad Notepad Computer NC100: история, характеристики и особенности винтажного девайса История британской компании Amstrad насчитывает ровно 40 лет. Она была основана в 1968 году сэром Аланом Майклом Шугаром. Этот достопочтенный джентльмен имеет титулы барона и рыцаря, является почётным доктором наук в двух университетах Лондона, а ныне занимает место в Палате...

Что в мире аккумуляторов происходит: новые технологии и предложения разных компаний Бизнес и научные организации активно работают над созданием новых технологий производства батарей с целью снижения их стоимости, повышения надёжности, ёмкости и уменьшения воздействия на окружающую среду. Основное внимание уделяется разработке новых технологий создания катод...

Впервые в истории Kia возглавил руководитель некорейского происхождения. Компания уже опередила Hyundai по продажам Kia назначила бывшего руководителя Ford, Volkswagen и Toyota Марка Хедрича генеральным директором своих европейского подразделения. Впервые автопроизводитель назначил на эту должность руководителя некорейского происхождения. Ранее европейские руководители поднимались ли...

Немецкий концерн Rheinmetall наладит первое производство бронетехники в Украине уже в 2024 году Компания планирует производить бронетранспортёры Fuchs и машины пехоты Lynx.

General Motors увела у Tesla «гигалитейного» партнера Корпорация General Motors выкупила мичиганскую компанию Tooling & Equipment International (TEI), сыгравшую ключевую роль в совершенствовании методов крупноформатного литья под давлением, применяемых компанией Tesla. TEI полагается на аддитивное производство литейной оснастки...

Революционно новый Duster уже на подходе. Премьера – в ноябре Dacia уже давно дразнит новым Duster, но сейчас появилось больше конкретики относительно даты выхода: премьера ожидается примерно через месяц, в середине ноября. Но продажи стартуют чуть позже, в начале 2024 года. По данным ресурса Actudacia, который раскрыл подробност...

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

В Узбекистане хотят собирать по 500 000 машин BYD к 2027 году. Локализацию планируют довести до 60% BYD планирует выпускать на заводе BYD Uzbekistan Factory до 500 тыс. электромобилей и гибридных автомобилей к 2027 году. Об этом сообщил заместитель директора BYD Uzbekistan Factory Диёр Мухамедов. Ранее стало известно, что BYD создаст на территории Узбекистана предприя...

Apple может снять ограничения NFC-оплаты только через Apple Pay в Европе Apple пытается избежать штрафа и продолжающегося судебного процесса с Европейским Союзом. Компания якобы предлагает своим конкурентам доступ к технологии ближней радиосвязи, которая используется для платежей tap-and-go.

В России возобновится производство Hyundai Solaris, Hyundai Creta и Kia Rio. Все подробности Автоэксперт и ведущий Telegram-канала «Русский автомобиль» Сергей Цыганов раскрыл очень интересные подробности о будущем российского завода Hyundai – Hyundai Motor Manufacturing Rus (ХММР). По его словам, предприятие достанется компании «Авилон&r...

Новая интегрированная графика AMD опередила в играх мобильную RTX 2050 Подтверждено, что новая графика будет на 36% мощнее старой.

Лунная экономика: что это такое и как её намерены развивать Так называют концепцию развития экономической деятельности на Луне, тут всё просто. В её рамках рассматривается возможность использования ресурсов Луны для различных целей, таких как добыча полезных ископаемых, научные исследования, туризм, производство и т. д.В связи с...

"Аквариус" и Nemifist ускорят выпуск игровых компьютеров в России Российские компании "Аквариус" и Nemifist начнут совместное производство игровых компьютеров, используя передовые технологии и увеличивая объемы производства, что отвечает растущему спросу на мощные игровые системы в России.

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

Представлен первый полностью беспилотный грузовик Volvo Aurora с автопилотом 4-го уровня Volvo объявила о сотрудничестве со стартапом по производству беспилотных автомобилей Aurora еще 2021 году, а не этой неделе был представлен первый беспилотный грузовик, готовый к массовому производству. Он создан совместно этими двумя компаниями. Этот грузовик создан на...

Нет, Huawei пока даже не собирается догонять конкурентов. Компания сейчас сосредоточена на техпроцессе 7 нм, так как у него ещё много проблем Компания Huawei сейчас имеет доступ к 7-нанометровому техпроцессу SMIC, и считается, что уже в это году SMIC освоит нормы 5 нм. При этом некоторые источники говорят, что и 3 нм не за горами. Однако сама Huawei, похоже, так не считает и говорит, что сейчас для неё новые ...

Китай прорывает блокаду в битве за чипы? Страна планирует удвоить производство в течение пяти лет Масштабная конкуренция между производителями микросхем усиливается из-за весьма активного наращивания производственных мощностей Китаем. Поднебесная прикладывает серьезные усилия для достижения ведущей роли в индустрии разработки и производства электроники. Потребители от т...

Не время вкладываться в литий? ИИ помог найти альтернативу для производства аккумуляторов В самом начале нового года стало известно, что Microsoft и Pacific Northwest National Laboratory смогли разработать новый материал, который теоретически может снизить объём используемого при создании аккумуляторов лития. Во всяком случае батарея на базе этого соединения уже ...

OnePlus представила передовую батарею Glacier Battery Некоторое время назад инсайдер из Китая сообщил о скором анонсе новой технологии производства аккумуляторных батарей, которые якобы должны использоваться в будущем OnePlus Ace 3 Pro. А сегодня компания официально подтвердила эту новость, сообщив, что OnePlus тесно сотруднича...

HONOR становится лидером рынка смартфонов в Китае в первом квартале 2024 года Компания HONOR впервые вышла на первое место по объему поставок смартфонов в Китае, опередив таких технологических гигантов, как Huawei и Xiaomi, согласно последнему отчету Международной корпорации данных (IDC).

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Samsung может внедрить технологию обратной подачи питания в техпроцесс 2-нм класса Это сделает техпроцесс значительно более конкурентоспособным, по сравнению с технологиями Intel 20A и 18A.

Судебные документы раскрыли убеждённость Google в преследовании со стороны властей Минюст США в рамках антимонопольного расследования в отношении Google раскрыл часть судебных документов, свидетельствующих об уверенности компании в подавлении её инноваций и помощи таким образом конкурентам.

iPhone 16 Pro выйдет только через полгода, а первые результаты тестов Apple A18 Pro уже появились в Сети Первые результаты тестирования однокристальной системы Apple A18 Pro, которая должна быть установлена в iPhone 16 Pro, появились сегодня в Сети. Устройство под управлением Apple A18 Pro набрало 3570 и 9310 баллов в одноядерном и многоядерном тестах Geekbench 6, что на 2...

ИИ-бум увеличил выручку конкурента Samsung — SK Hynix — на 144% Южнокорейский гигант по производству памяти SK Hynix сообщает о «возрождении», чему способствует рост спроса на микросхемы памяти в приложениях искусственного интеллекта. Компания объявила об увеличении операционной прибыли на 288% в первом квартале, что превзошло ожидания а...

Apple вновь заняла первое место в рейтинге самых уважаемых компаний мира Apple в 17-й раз заняла первое место в рейтинге самых уважаемых компаний мира, опередив таких технологических гигантов, как Microsoft и Amazon.

Западные эксперты считают, что российское производство чипов отстаёт от американского на 20 лет Уже к 2030 году РФ планирует производить чипы по технологии 14-нм.

Bosch и Microsoft хотят сделать автомобили безопаснее с помощью ИИ Bosch получает доступ к технологии OpenAI. Вместе с Microsoft компания планирует сделать авто более безопасными с помощью искусственного интеллекта (ИИ).

TSMC объявила о планах строительства трех заводов по производству 2-нм чипов Компания TSMC планирует упрочить свои позиции на глобальном рынке по производству полупроводников последнего поколения

Дуа Липа раскрыла некоторые интересные подробности из жизни Тима Кука От котлет на кухне до самой дорогой компании в мире.

Ayaneo Next Lite с модифицированной версией SteamOS по цене $299 Китайская компания Ayaneo раскрыла все подробности о новой портативной приставке Next Lite

Nvidia впервые назвала Huawei своим конкурентом Как сообщает Reuters, Nvidia впервые назвала Huawei своим главным конкурентом. Об этом Nvidia написала в своей заявке, поданной в Комиссию по ценным бумагам и биржам США поздно вечером в среду. В документе Huawei была указана как главный конкурент в нескольких категория...

Будет ультрахит? На покупку Oppo Find X7 Ultra собрано уже 250 тыс. предварительных заявок – это будет первый в мире смартфон с двумя перископными объективами Oppo пока не раскрыла все характеристики своего будущего флагмана – Find X7 Ultra, но за ним уже выстраивается огромная очередь: собрано уже 250 тыс. заявок на покупку аппарата, который явно не будет дешевым. Официальная премьера Oppo Find X7 и Find X7 Ultra сост...

Samsung Galaxy S24 Ultra опередил Apple IPhone 15 Pro Max в тесте на скорость памяти Новинка от Samsung опередила IPhone 15 Pro Max в приложении Jazz Disk Bench.

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

Производство OLED-панелей для iPad Pro приведет к изменениям в iPhone 16 Apple сделала значительные улучшения в своей технологии производства OLED-панелей для своих устройств, включая iPad Pro и iPhone.

В бенчмарке AnTuTu бюджетный Nothing Phone (2) опередил конкурентов Инсайдеры опубликовали данные бенчмарка Antutu 10, согласно которым среднебюджетный Nothing Phone (2) опередил основных конкурентов в одном ценовом сегменте. Сюда входят результаты в категориях CPU, GPU, памяти и пользовательского опыта.

Компания «Лазерные системы» займется 3D-печатью деталей двигателей и газовых турбин Санкт-Петербургский разработчик и производитель промышленных 3D-принтеров «Лазерные системы» откроет центр аддитивных технологий на базе собственного производства на площадке «Нойдорф» особой экономической зоны в Стрельне. Предприятие планирует наладить аддитивное производст...

Huawei P70 получит невероятно мощный процессор Большой спрос на линейку смартфонов Mate 60 в Китае свидетельствует о том, что у Huawei есть достаточное количество потенциальных покупателей для запуска флагманского смартфона P70 в следующем году. Согласно информации, раскрытой китайскими источниками, компания планирует пр...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Производитель Mercedes G-Class поможет Volkswagen создать новейшие внедорожники Scout для конкуренции Ford Bronco и Jeep Wrangler Volkswagen обратился к Magna Steyr, австрийскому автопроизводителю и инжиниринговой компании, за помощью в разработке прочных автомобилей для своего возрожденного американского бренда Scout. Местная газета Kleine Zeitung сообщает, что стоимость сделки составляет почти п...

Графика Intel догонит Nvidia RTX 40 по эффективности генерации кадров в играх Intel намерена укрепить свои позиции на игровом рынке благодаря новой технологии генерации кадров ExtraSS для XeSS, представленной на выставке SIGGRAPH Asia 2023. Позиционируемая как конкурент Nvidia DLSS 3 и AMD FSR 3, технология XeSS представляет собой аппаратно-агностичес...

Инсайдер Moore’s Law Is Dead: AMD разрабатывает ARM процессор Sound Wave с мощным NPU Компания якобы хочет потеснить ARM конкурента в сегменте Copilot+ PC, утверждает инсайдер.

AMD готовит к релизу видеокарту Radeon RX 7650M XT Судя по информации западных инсайдеров, компания AMD планирует восполнить пробел в сегменте мобильных графических процессоров при помощи релиза нового графического ускорителя на базе архитектуры RDNA 4 — речь идёт о модели Radeon RX 7650M XT, которая должна появиться в прода...

Украина наращивает производство дронов планируя покрыть ими нехватку западного оружия Производство дронов обходится дёшево, поэтому украинские компании планируют перевыполнить производственный план.

Мощные GPU в Китае: полное самообеспечение к 2027 году. Реально ли? Правительство Пекина планирует предоставить субсидии компаниям, которые покупают чипы внутренних производителей с целью «ускорения поставки управляемых интеллектуальных вычислительных ресурсов». Особенное внимание уделяется процессорам GPU, на производство и продажу которых ...

Специалисты BlockSec раскрыли подробности атаки на Mixin Аналитики компании по безопасности блокчейнов из BlockSec рассказали о ситуации вокруг сети Mixin Network

Компания Geely’s Polestar Motor раскроет подробности смартфона Polestar Phone 16 апреля Polestar Motor объявила сегодня, что через три дня представит Polestar Phone, который привнесёт "новый опыт".

Раскрывая потенциал GenICam и Harvester в системах компьютерного зрения Опыт работы с крупнейшими производственными площадками позволяет сказать, что на сегодняшний день целый ряд производств считает современные цифровые технологии и, в частности технологии искусственного интеллекта, неотъемлемой частью производства. В ряде компаний создана экос...

Первые подробности о Redmi Note 14 Pro могут разочаровать поклонников бренда  Похоже, Xiaomi планирует отказаться от камер по 200 Мп.

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

TSMC представляет технологию HBM4 с 2048-битным интерфейсом Компания TSMC раскрывает подробности своей передовой технологии HBM4 с 2048-битным интерфейсом, призванной революционизировать высокопроизводительные вычисления и память.

Samsung готовит ещё один Galaxy Z Fold6, но он выйдет только с серией Galaxy S25 Южнокорейский бренд планирует опять опередить Apple.

Volkswagen опередила концерн BYD и стала номером 1 в Китае В январе 2024 года в Китае лидером по продажам автомобилей стала немецкая компания Volkswagen, о чем пишет CarNewsChina со ссылкой на Китайскую ассоциацию легковых автомобилей. Volkswagen удалось опередить китайский концерн BYD, который ранее занимал первое место на про...

Это видеокарта китайской разработки уровня GTX 1050 и с поддержкой AMD FSR. Анонсирована 30-ваттная Gitstar JH920 Китайская компания Gitstar анонсировала свою собственную видеокарту JH920, которая, по обещаниям производителя, должна быть быстрее, чем GeForce GTX 1050.  Да, это не бог весть какой уровень, но всё-таки речь о полностью китайской разработке. К тому же TDP тут сос...

В РФ в этом году начнётся производство малозаметной для радаров ПВО планирующей бомбы "Дрель" Бомба уже испытана и ждёт только "отмашки" для своего серийного производства

OnePlus готовится к анонсу мощного игрового планшета OnePlus Pad Pro Первые подробности об устройстве уже обсуждаются в сети.

OnePlus готовит ответ на iPad Pro. Появились первые подробности о самом мощном Android-планшете Ждём.

Первый электромобиль Xiaomi SU7 Max сможет проехать от одного заряда больше 800 км Компания Xiaomi поделилась подробностями о шинном оснащении для своего первого электромобиля SU7 Max.

У Китая есть два самых мощных суперкомпьютера в мире, но о них нельзя узнать из рейтинга Top500. Появились подробности о Tianhe Xingyi Китайский суперкомпьютер Tianhe Xingyi, который также называют Tianhe-3, похоже, действительно является самым производительным в мире.  создано DALL-E Согласно свежим данным, производительность этой системы составляет 1,57 ExaFLOPS при пиковом показателе в 2 ExaFL...

Грядущий Xiaomi 14 на собственной HyperOS оказался мощнее Samsung Galaxy S24 Ultra Грядущий флагман Xiaomi 14 продемонстрировал впечатляющие результаты в бенчмарке Geekbench 5 и 6, опередив даже Samsung Galaxy S24 Ultra.

«Мы создаём будущее», — Panasonic представил мобильную гостиную В преддверии Japan Mobility Show 2023 компания Panasonic раскрыли свои планы на это мероприятие. Компания представят новую систему хранения вещей, технологии безопасности для велосипедистов и беспилотный автомобиль, который показывает, как может выглядеть будущее автоно...

Apple планирует производить 25% всех iPhone в Индии Apple и её поставщики, включая Foxconn, готовятся резко увеличить производство iPhone в Индии, планируя ежегодно выпускать более 50 миллионов единиц в течение следующих 2-3 лет. Этот амбициозный план повысит роль Индии в мировом производстве iPhone, на которую будет приходит...

Бывшие российские заводы Hyundai и Nissan возобновили производство Бывшие российские заводы Hyundai и Nissan объявили о перезапуске, теперь они называются «Автомобильный завод АГР» и «Автозавод Санкт-Петербург» соответственно. Согласно информации от «Фонтанки», возобновление работы заводов ...

Обновлённая линейка мощнейших игровых ноутбуков от Asus может выйти в металлическом корпусе ROG Zephyrus G14 долгое время уступал своему основному конкуренту в лице Razer Blade 14 в материалах

Samsung в ударе: компания выпустила в первом квартале 2024 года 64,5 миллиона смартфонов и планшетов, хотя планировала выпустить только 53 миллиона Samsung перевыполнила собственный же план по выпуску новых мобильных устройств — смартфонов и планшетов — на 22%. Как сообщает корейский ресурс The Elec, при плане в 53 миллиона единиц на первый квартал текущего года Samsung выпустила 64,5 миллиона смартфоно...

Honor поделилась подробностями о новом аккумуляторе для Magic6 Pro Компания Honor раскрыла основной секрет твердотельной АКБ, которая уже установлена во флагманском смартфоне Magic6 Pro

Специальное термопокрытие Adata позволяет заметно снизить температуру быстрой памяти DDR5 Компания Adata придумала, как дополнительно охладить современную довольно горячую память DDR5. Производитель создал некое термопокрытие, которое позволяет заметно снизить температуру. Технических подробностей нет, но, судя по всему, речь о каком-то веществе, которым по...

Компания «Лазерные системы» открыла центр аддитивных технологий Центр расположен на территории особой экономической зоны «Санкт-Петербург» и задуман как комплексная технологическая площадка с полным циклом разработки, производства и внедрения аддитивных технологий в производство. Компания планирует импортозамещать дорогостоящие комплекту...

The Telegraph: Трамп подготовил детальный план по Украине, но до выборов раскрывать его не будет Даже перед концом избирательной кампании кандидат в американские президенты не раскроет подробности своего плана.

Cognizant внедрила технологию Just Walk Out от Amazon в Canberra Institute of Technology Student Association Cognizant, одна из ведущих в мире компаний по предоставлению профессиональных услуг, объявила о своем избрании Canberra Institute of Technology Student Association (CITSA) на роль провайдера услуг в области системной интеграции и платежной системы для внедрения технологии ко...

Технологии требуют жертв? Этическая проблема Neuralink 19 сентября 2023 года Neuralink, стартап Илона Маска, занимающийся интерфейсом «мозг-компьютер», объявил, что заявка для добровольцев, страдающих параличом нижних конечностей, от травм позвоночника и БАС, уже открыта. Однако на следующий день расследование Wired раскрыло по...

Samsung разрабатывает LPDDR5X со скоростью 10,7 Гб/с Компания Samsung Electronics объявила об усовершенствовании технологии производства памяти, которая позволит создать первые модули LPDDR5X DRAM с высочайшей на сегодня скоростью 10,7 Гб/с.

BMW и GM инвестировали в технологию 3D-печати с целью повышения эффективности производства Недавно GM объявила о приобретении компании TEI, одного из основных участников разработки технологии гигалитья, используемой Tesla, благодаря которой крупные структурные компоненты могут быть отлиты одним куском, а не собраны из сотен более мелких. Примерно в то же время ком...

Бывший астронавт NASA раскрыл подробности процесса дефекации в космосе Миссия «Артемида» должна стать первым космическим проектом, в котором будет использоваться удобный туалет. Такой, который не требует многих часов тренировок перед использованием. Это крайне важный шаг, так как стремление частных компаний к освоению ближнего космоса ставит оч...

iPad mini 7 может получить 8,7-дюймовый OLED-дисплей На данный момент большинство аналитиков и инсайдеров уверены в том, что Apple взяла курс на перевод своих устройств на OLED-дисплеи. Первыми подобные экраны должны появиться в iPad Pro уже в следующем году. Однако компания планирует внедрить OLED-дисплеи и в другие свои план...

Генную инженерию — в массы: Великобритания одобрила CRISPR для лечения генетических заболеваний. Перспективы решения Несколько дней назад стало известно о том, что Британия первой в мире одобрила CRISPR-лечение. Это означает, что в стране начнут использовать технологии генного редактирования для лечения пациентов с очень тяжёлыми генетическими заболеваниями, вылечить которые при помощи обы...

«Яндекс Лавка» предлагает свои технологии сетевым магазинам «Яндекс Лавка» запустила направление по продаже собственных технологий сетевым ритейлерам. Ритейлеры могут выбрать весь набор технологий, которые предлагает сервис, или отдельные модули, например, системы для сборки заказов с полок магазинов, для управления ...

Foxconn удалось вывести на орбиту свои первые спутники связи SpaceX невольно содействует успеху конкурента своему Starlink.

Google Gemini: на что способна эта нейросеть и какие у неё недостатки (спойлер — их много) Корпорация Google совсем недавно представила мультимодальную модель ИИ, которую называет конкурентом GPT-4 от OpenAI. Она умеет обрабатывать текстовую, аудиоинформацию, изображения и видео. На первый взгляд, проект действительно мощный, но, как оказалось, у него немало и нед...

Samsung Galaxy AI появится в Galaxy Z Fold6 Компания Samsung сегодня официально подтвердила, что внедрит функции искусственного интеллекта Galaxy AI в следующее поколение своих складных устройств, что на самом деле было вполне ожидаемо. Было бы достаточно странно выпустить смартфоны Galaxy Z Fold6 и Galaxy Z Flip6 без...

Great Wall по-настоящему удивит. Первый мотоцикл компании получит не только 8-цилиндровый мотор, но еще и автоматизированную коробку передач Great Wall Motors заинтриговала своим первым мотоциклом Soul: он выглядит как культовый Honda Gold Wing и оснащен оппозитным 8-цилиндровым мотором. Но, как оказалось, есть ещё один очень интересный технический момент: у Soul будет не обычная механическая коробка пе...

Intel начала массовое производство технологии 3D-упаковки Foveros Intel объявила о масштабном производстве на основе ведущих в отрасли решений по полупроводниковой упаковке, включая новую технологию 3D-упаковки Foveros. Эта технология была запущена на заводе Fab 9 в штате Нью-Мексико, США, который недавно был обновлен Intel.

MediaTek фактически в очередной раз перевыпустила Dimensity 810 из 2021 года, обещая разгром конкурентов. Представлена SoC Dimensity 6300 Компания MediaTek представила однокристальную систему Dimensity 6300 для недорогих смартфонов.  Сам производитель говорит, что новая SoC обеспечивает на 50% большую производительность GPU по сравнению с альтернативными платформами конкурентов. При этом эти самые к...

Популярный инсайдер раскрыл характеристики нового топового процессора MediaTek Dimensity 9300, который оказался мощнее Snapdragon 8 Gen 3 MediaTek готовится к официальному анонсу своего нового флагманского процессора — Dimensity 9300.

А вот и первая эксклюзивная функция только для новых компьютеров из категории Copilot+ на Snapdragon X Elite. Только для них будет доступна Auro SR Технология масштабирования изображения, которая появится прямо в Windows 11, как минимум первое время будет эксклюзивом для компьютеров новой категории Copilot+ на основе SoC Snapdragon X.  На этот раз Windows on Arm станет успешной? Представлено сразу 22 модели ...

Китайский конкурент Nvidia в ИИ официально пришёл в Россию Китайская компания Sophgo подписала первый контракт на поставку своих тензорных ИИ-процессоров в Россию, пишут Ведомости.

Apple тратит «огромное количество времени и усилий» на искусственный интеллект: пользователи увидят результаты уже в этом году Во ходе публикации отчета за первый квартал 2024 финансового года генеральный директор Apple Тим Кук прокомментировал работу компании над искусственным интеллектом. Он сказал, что Apple вкладывает «огромное» количество времени и усилий в искусственный интелл...

Volkswagen при помощи XPeng создаст новую платформу для своих китайских электромобилей Чтобы бить китайских конкурентов их же оружием.

Самый мощный серверный 144-ядерный процессор Xeon Sierra Forest уступает своему конкуренту от AMD Несмотря на меньшее количество потоков, 128-ядерный AMD Bergamo показывает лучшую производительность

Не Китай, так Индия: производители мощных GPU поставляют всё больше продукции индийскому бизнесу. Что происходит? Вот уже несколько лет многие IT-компании из Китая находятся под жёсткими санкциями США. Экспортные ограничения не дают возможности производителям, которые работают с технологиями США, поставлять современную продукцию в КНР. В первую очередь под запретом находятся мощные GPU ...

Эпоха 2-нм чипов: ASML отгружает EUV-литографы третьего поколения. Что это значит для индустрии Источник: st.overclockers.ru Нидерландская компания ASML продолжает развиваться, разрабатывая новые технологии для производства современных чипов. В конце 2023 года стало известно, что компания разработала литограф, способный работать с 2-нм техпроцессом. И сейчас началась...

Исследование: виды-«пришельцы» опередили в распространении местные в 1000 раз Недавнее исследование, проведенное учеными из Массачусетского университета в Амхерсте, подчеркивает тревожные различия в том, как местные и неместные виды реагируют на изменение климата. Работа показывает, что неместные виды расширяют свои ареалы с поразительной скоростью, в...

Redmi K70 получит топовый экран, который опередил отрасль на целый год Директор по новой продукции Xiaomi Ван Тен Томас (Wang Teng Thomas) официально подтвердил, что Redmi вместе со своими партнерами определила и возглавила выпуск китайских флагманских экранов разрешением 2K и 1,5K. Он добавил, что эти экраны опередили отрасль на один год,...

Samsung раскрыла подробности будущего ИИ в смартфонах Galaxy Samsung готовится к запуску Galaxy AI - комплексной мобильной системы искусственного интеллекта, объединяющей искусственный интеллект на устройстве и в облаке. Теперь стало известно больше подробностей о технологии.

Vivo X200 и X200 Pro могут стать первыми смартфонами на 3-нанометровой Dimensity 9400 Ожидается, что в октябре Qualcomm анонсирует чипсет Snapdragon 8 Gen 4, а MediaTek собирается представить Dimensity 9400. Инсайдер Digital Chat Station сообщил, что Vivo станет первым брендом, выпустившим смартфон на базе Dimensity 9400. По словам источника, Dimensity 9...

Они могут стать основой для новых Lada. В России официально появятся три новые модели FAW Компания FAW собирается официально начать продавать в России в следующем году три новые модели, подробности о которых раскроют позже. «По нашим прогнозам, доля продукции китайских автопроизводителей на российском рынке продолжит увеличиваться. Автомобили из КНР от...

Tesla Robotaxi смогут заниматься самодезинфекцией Tesla раскрыли подробности о своем беспилотном такси Robotaxi при помощи патента под номером WO2023163943A1, который касается «технологии самоочистки» автомобиля. Датчики, встроенные в такси Tesla Robotaxi, смогут определять степень загрязнения автомоби...

Тонким слоем по всему конвейеру. Раскрыт объем производства Lada Granta с «автоматом» Объявляя о возвращении в производство Lada Granta с «автоматом», говорилось о том, что машины будут выпускать в течение года. Сейчас появились подробности об объемах выпуска, и они могут удивить. Изображение: АвтоВАЗ По данным инсайдерского паблика Avtograd...

Qualcomm представила процессоры для роботов и Интернета вещей Сегодня компания Qualcomm официально заявила о релизе своего новейшего микро-мощного Wi-Fi чипа под названием QCC730, обещая повышенный радиус действия и скорость передачи данных при меньшем энергопотреблении, а также прямое подключение к облаку при необходимости. Этот двухд...

Snapdragon 8 Gen 3, 5260 мА·ч, 100 Вт. Раскрыты характеристики Realme GT5 Pro – это конкурент Xiaomi 14 и Samsung Galaxy S24 Китайский регулятор TENAA опубликовал живые фото и раскрыл подробности о будущем флагмане Realme – модели Realme GT5 Pro. Аппарат, как и все флагманы новой волны, будет построен на SoC Snapdragon 8 Gen 3. Realme GT5 Pro получит изогнутый экран OLED с диагональю 6...

Официально: Meizu 21 получит 80-Вт зарядку Компания Meizu раскрыла подробности о флагманском смартфоне Meizu 21, официальный релиз которого запланирован на 30 ноября. Итак, аппарат получит 6,55-дюймовый OLED-экран производства Samsung с разрешением 1,5K, частотой ШИМ 1920 Гц, рамками толщиной всего 1,74 мм с четырех ...

Заводы TSMC оказались не готовы к переходу на новое литографическое оборудование Нидерландская компания ASML, флагман производства литографических машин для печати кремниевых пластин, поставила Intel первую машину для экстремального ультрафиолета (EUV) (EXE:5000). Это выводит компанию на новый уровень. Но, как оказалось, один из главных конкурентов Intel...

Xiaomi раскрыла подробности о серии смартфонов Redmi K70 Компания Xiaomi празднует 10-летие бренда Redmi, раскрывая много интересной информации, статистики продаж, и анонсируя новые устройства.

Первые процессоры Intel Core, которые сама Intel производить не может. TSMC приступила к массовому производству Lunar Lake Ресурс DigiTimes сообщает, что TSMC приступила к массовому производству процессоров Intel Lunar Lake.  Судя по всему, ноутбуки на основе этих CPU появятся в конце третьего квартала, а CPU Arrow Lake выйдут на рынок в четвертом квартале.  Напомним, Lunar Lake,...

Кража, плагиат: на Perplexity — конкурента Google — обрушился шквал критики Perplexity, стартап, стремящийся конкурировать с Google Search с помощью своего «движка ответов», вместо поискового движка, попал под пристальное внимание из-за своих противоречивых методов. Компанию, возглавляемую Аравиндом Шринивасом, обвиняют в плагиате, нарушении ав...

Официально: в смартфонах Honor появится поддержка спутниковой связи Слухи о том, что Honor планирует внедрить спутниковую связь в свои смартфоны, уже больше не слухи. Об этом заявил Цзян Хайронг (Jiang Hairong), директор по маркетингу китайской компании. На своей страничке в Weibo Цзян Хайронг написал: «Технологии спутниковой свя...

Huawei и SMIC разработали технологию SAQP для производства 5-нм чипов Компании Huawei и SMIC разработали технику SAQP, которая позволяет достичь уровня 5 нм в производстве полупроводников

Флагманы серии Galaxy S25 получат мощные процессоры Snapdragon 8 Gen 4 Инсайдер Digital Chat Station раскрыл некоторые данные касательно флагманских моделей серии Samsung Galaxy S25, которые должны выйти в 2025 году. По словам инсайдера, флагманы S25 получат рекордно мощный чип Qualcomm Snapdragon 8 Gen 4.

Intel планирует начать выпуск чипов Arrow Lake по 2-нм нормам в 2024г и хочет опередить Samsung Дорожная карта выглядит довольно фантастично и всё ещё вызывает вопросы

Samsung увеличит автономность Galaxy S25 при помощи ИИ Samsung планирует улучшить автономность работы своего нового флагмана Galaxy S25 с помощью инновационной технологии, основанной на искусственном интеллекте, под названием Battery AI.

В сети появились рендеры Samsung Galaxy Z Flip6 Буквально вчера в сети появились первые рендеры смартфона Galaxy Z Fold6, которые показали, что производитель не планирует существенно менять дизайн своего гаджета, а теперь пришло время раскрыть дизайн Galaxy Z Flip6. Здесь стоит напомнить, что в прошлом году у Samsung прои...

Китайские компании предоставят оборудование и технологии для производства каменной ваты в России На Российско-китайском форуме межрегионального сотрудничества было подписано соглашение о строительстве завода по производству каменной ваты в Оренбургской области с использованием китайских технологий и оборудования. Завод планируется разместить в городе Новотроицке.

Смартфоны Sony Xperia 1 VI и 5 VI получат технологию цифровой подписи в камере По сообщениям, Sony планирует оснастить свой смартфон Xperia следующего поколения первой в мире технологией цифровой подписи непосредственно в камере.

Планшет Honor Tablet 9 получит восемь динамиков Компания Honor раскрыла подробности о планшете Honor Tablet 9, официальная презентация которого состоится 21 декабря вместе со смартфоном Honor 90 GT. Итак, подтверждено наличие 12,1-дюймового дисплея с мягкой подсветкой, разрешением 2560:1600 пикселей, яркостью 500 кд/м2, р...

Российский холдинг KMZ начал производство подшипников для мощных двигателей Военно-промышленный холдинг KMZ вступил начал производство биметаллических подшипников для дизельных двигателей большой мощности. Этот ход стал ответом на отказ австрийской компании MIBA поставлять свои продукты в Россию.

ПМЭФ 2024: Сбер и Билайн планируют совместно развивать технологии искусственного интеллекта для защиты клиентов от телефонных мошенников Сбер и Билайн намерены объединить усилия в части развития технологий искусственного интеллекта (AI) для защиты своих клиентов от телефонного мошенничества. Соответствующий меморандум подписали заместитель Председателя Правления Сбербанка Станислав Кузнецов и генеральный дире...

Apple станет эксклюзивным заказчиком 2-нм чипов у TSMC Как и в случае с текущим 3-нм производством чипов на TSMC, Apple хочет максимально использовать производственные мощности тайваньского производителя для удовлетворения своих потребностей, оставив конкурентов позади

Nvidia признала Huawei своим главным конкурентом Nvidia недавно назвала китайскую Huawei главным конкурентом в нескольких ключевых областях, включая производство ИИ-ускорителей. Это открытие произошло на фоне усиления геополитической напряженности между США и Китаем, особенно в области полупроводников.

Как Nvidia стала флагманом среди IT-компаний Nvidia — мировой лидер в сфере разработки графических процессоров. Эта корпорация сыграла важную роль в развитии технологий искусственного интеллекта, метавселенных, беспилотного транспорта и видеоигр. За более чем 30 лет своего существования Nvidia успела превратиться из ед...

В России стартует крупносерийное производство импортозамещённых поршневых авиационных двигателей В индустриальном парке «Руднево» стартует выпуск поршневых авиационных двигателей для гражданских беспилотных воздушных судов. Крупносерийное производство наладят московский производитель «Аэромакс» совместно с инжиниринговой компанией «При...

Когда был большой взрыв в виртуальной реальности? История развития VR-технологий Сегодня шлемы Oculus Rift, Kinect, гарнитуры PS-VR и тактильные жилеты не кажутся чем-то фантастическим. Крупные IT-компании вроде Google и Apple уже давно создают устройства дополненной и виртуальной реальности. Почти любой человек может запустить любимую игру и погрузит...

Amazon впервые раскрыла количество своих клиентов в ЕС по новому закону В рамках своего первого отчета о прозрачности магазинов в соответствии с Законом ЕС о цифровых услугах (DSA) компания Amazon сообщила, что в странах Европейского союза (ЕС) у нее более 181 млн пользователей и непосредственно в этом регионе работает более 150 тыс человек.

АвтоВАЗ рассказал, насколько мощной будет Lada Vesta Sport 2024 АвтоВАЗ сообщил, что новая Lada Vesta Sport точно не будет менее мощной, чем первое поколение данной модели. Напомним, Lada Vesta Sport оснащалась бензиновым двигателем объёмом 1,8 литра мощностью 145 л.с. с 184 Нм крутящего момента, а также 5-ступенчатой механической к...

Bosch окончательно уходит из России. Заводы по выпуску систем ABS и ESP уже проданы, на очереди заводы по производству бытовой техники Как сообщает «Коммерсантъ», компания Bosch веред переговоры по продаже своих российских заводов по производству бытовой техники. Раньше в переговорах участвовала китайская Hisense, но как сообщил источник «Коммерсанта», турецкий инвестиционный фо...

LG переходит на OLED и прекращает производство ЖК-дисплеев В рамках стратегического решения, компания LG Electronics объявила о прекращении производства ЖК-дисплеев и полном переходе на технологию OLED.

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

Производство спутников «Газпрома» заработает уже в июле Сборочное производство космических аппаратов «Газпром СПКА» начнёт работу в июле текущего года, заявил первый заместитель гендиректора компании Сергей Масалов. По его словам, в 2025 году предприятие планирует выйти на производство двух спутников в неделю. Ма...

Особенности и преимущества TCL с Google TV Компания TCL - это бренд, который занимается, как производством, так и разработкой бытовой техники, мобильных гаджетов, дисплеев и телевизоров. Компания была основана в 1981 году путём слияния TTK Home Appliances и TCL Communication Equipment. В двухтысячных годах корпорация...

ADATA XPG внедрила в производство скоростной DDR5 новую технологию для улучшения охлаждения Технология будет применяться при производстве модулей со скоростью 8000 МТ/с и выше.

Больше не Nokia: HMD Global выпустит собственные смартфоны в апреле по «агрессивной» цене Компания HMD Global владеет лицензией на продажу телефонов под брендом Nokia по всему миру. Хотя изначально у компании был хороший старт, продажи постепенно падали из-за непоследовательной стратегии по запускам и высоких цен. Теперь HMD Global собирается выпускать смарт...

Для тех, кому не нравятся электромобили. Xiaomi работает над гибридным автомобилем и набирает людей Представитель Xiaomi случайно раскрыл тот факт, что компания работает над технологией гибридных автомобилей, опубликовав скриншот, содержащий описание вакансий и требования. Как утверждает gizmochina, Xiaomi работает над своим первым гибридным автомобилем. Компания...

Orange Pi OS: конкурента Rapsberry Pi OS теперь адаптируют для x86-систем и не только Несмотря на то, что операционная система Windows является самой популярной ОС для десктопов и ноутбуков, другие компании пытаются предлагать альтернативы. Насколько можно судить, как раз сейчас этим занимается компания Shenzhen Xunlong из Китая, которая разрабатывает однопл...

Samsung представила оптимизированный под ИИ-ускорители техпроцесс Samsung Electronics объявила о новой инициативе по предоставлению комплексных "универсальных" решений в области ИИ для своих клиентов-литейщиков, сосредоточившись на технологиях для высокопроизводительных и маломощных чипов ИИ. На форуме Samsung Foundry Forum (SFF) в Сан-Хос...

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Это первая действительно удачная топовая SoC Exynos за многие годы? Samsung раскрыла технические подробности об Exynos 2400 Однокристальная система Exynos 2400 в тестах, которые уже успели попасть в Сеть, показывает себя очень неплохо, порой опережая даже Snapdragon 8 Gen 3. И Samsung лишь сейчас раскрыла все подробности об этой платформе.  Итак, как мы знали и ранее, Exynos 2400 выдел...

ASRock анонсировала мощные мини-ПК на Ryzen 8040 и материнские платы для них Компания раскрыла технические характеристики новинок и рассказала об их ключевых особенностях

540-Гц монитор ASUS ROG Swift Pro PG248QP поддерживает ULMB 2 Компания ASUS раскрыла новые подробности об одном из самых продвинутых геймерских мониторов на рынке ROG Swift Pro PG248QP. Его главной особенностью является частота обновления 540 Гц. Оказалось, что новинка также поддерживает технологию NVIDIA G-Sync Ultra Low Motion Blur 2...

GAC будет собрать свои машины в Казахстане. Решение по сборке машины в России пока не принято Гендиректор GAC International Вэй Хайган в рамках конференции для российских и центральноазиатских СМИ сообщил о том, что компания запустит сборку автомобилей в Казахстане. Что касается сборки машин GAC в России, то на этот счет пока конкретных решений нет: в китайской ...

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

Первый смартфон Xiaomi с поддержкой спутниковой связи, но и не только. Важные подробности о Xiaomi 14 Ultra Инсайдер Digital Chat Station раскрыл подробности о Xiaomi 14 Ultra. Это будет первая модель производителя с поддержкой спутниковой связи, причем сразу двусторонней. Так выглядит Xiaomi 13 Ultra. А изображений Xiaomi 14 Ultra пока нет Но это не все особенности смартфон...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Турция начала строительство завода по производству БПЛА Bayraktar под Киевом Предполагается, что в год компания сможет производить 120 своих дронов при помощи украинского завода.

Intel представила новую мобильную архитектуру Lunar Lake, выход которой запланирован на 3 квартал Компания задействовала 3-нм техпроцесс TSMC для одной плитки нового процессора, что знаменует собой монументальный сдвиг в стратегии производства процессоров.

Производитель стейблкоинов Circle подает заявку на IPO Компания не раскрыла данные о цене и количестве акций, которые планирует продать в ходе первичного размещения.

Samsung разрабатывает процессор для нового ИИ Компания Samsung Electronics стремительно развивается в области производства полупроводников, но даже при этом компании не удалось привлечь внимание лидеров рынка вроде NVIDIA, которые предпочитают TSMC из-за более продвинутых технологических процессов. Но сегодня появилась ...

На этой неделе Xiaomi расскажет о своих электромобильных технологиях Но не будет вдаваться в подробности о самих машинах.

Tesla построит в Шанхае «гигафабрику» для производства аккумуляторов Компания Tesla провела церемонию подписания соглашения с властями Шанхая о приобретении земельного участка под строительство завода по производству мощных аккумуляторов Megapack.

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Micron представила первый в отрасли 232-слойный твердотельный накопитель NVMe Американская компания опередила конкурентов, превзойдя их существующие накопители с 176 слоями.

Micron представила первый в отрасли 232-слойный твердотельный накопитель NVMe Американская компания опередила конкурентов, превзойдя их существующие накопители с 176 слоями.

Xiaomi празднует 10-летие Redmi: анонсирована «юбилейная» серия Redmi K70E, Redmi K70 и Redmi K70 Pro Компания Xiaomi объявила сегодня о юбилее бренда Redmi - телефоны под этой маркой выпускаются вот уже 10 лет. В честь события компания сделала ряд заявлений, раскрывающих подробности о «юбилейной» серии - ей станет Redmi K70. Как сообщил Лэй Цзюнь (Lei Jun)...

Amazon подписала контракт на запуск спутников Kuiper с использованием ракеты Falcon 9 от своего конкурента, SpaceX Проект Kuiper от компании Amazon, который направлен на предоставление широкополосного интернета, может стать конкурентом спутниковой сети Starlink от SpaceX. В мире бизнеса нет места дружбе: Amazon объявила о заключении контракта на три запуска спутников проекта Kuiper ...

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

Производство Xiaomi 15 и Xiaomi 15 Pro запустят в сентябре Авторитетный инсайдер Digital Chat Station сообщил одни из первых подробностей о смартфонах серии Xiaomi 15.

Intel открыла новый завод по производству современной упаковки в Нью-Мексико Fab 9 является частью ранее объявленных инвестиций Intel в размере 3,5 миллиарда долларов в оснащение своих предприятий в Нью-Мексико для производства передовых технологий полупроводниковой упаковки.

Qualcomm заинтересовалась 2-нм техпроцессом Samsung для Snapdragon 8 Gen 5 Qualcomm нацелилась на 2-нм технологию Samsung Foundry.

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Samsung, а качество не пострадает? Зависимость от аутсорсинга резко выросла: компания выпускает десятки миллионов смартфонов в Китае и Вьетнаме Зависимость Samsung от аутсорсинга производства в сегменте бюджетных телефонов растет, о чем сообщает The Elec. Компания планирует выпустить 67 миллионов смартфонов в 2024 году при помощи китайских производителей. Это значительный скачок по сравнению с 44 миллионов един...

Нидерланды сделают все, чтобы оставить ASML в стране: миллиардные инвестиции и всесторонняя помощь Привет, Хабр! Недавно мы опубликовали статью «ASML собирается уйти из Нидерландов. Производитель литографов целится на международный уровень». В ней говорилось о том, что производитель самых современных литографов в мире планирует перенести штаб-квартиру из Нидерландов из-з...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

В России начали выпускать свечи зажигания для Porsche Cayenne, Audi Q7, автомобилей Subaru, Toyota и Lexus. Подробности о «чёрной» линейке «Meteor Auto» (бывшая Bosch) Мы уже писали о том, что на бывшем заводе «Роберт Бош Саратов» (ныне — «Энгельс Свечи зажигания») начали выпускать свечи зажигания для мощных машин, а сейчас появились подробности: главный редактор «За рулем» Максим Кадаков раск...

[Перевод] Футуристический план производства стали с помощью ядерного синтеза Крупнейшая американская сталелитейная компания делает ставку на то, что ядерный синтез поможет ей избавиться от выбросов углекислого газа и обеспечить энергией один из самых энергоёмких производственных процессов в мире.В рамках первого в своём роде партнёрства между крупной...

Развивая технологию F5G, Huawei запускает три корпоративные оптические сети На саммите «Эволюция F5G, повсеместное внедрение интеллекта», проведенном в рамках конференции HUAWEI CONNECT 2023, компания Huawei поделилась своими методами применения технологии F5G с глобальными клиентами и партнерами в различных отраслях, от электроэнергетики до образов...

Конкурента для самых мощных ускорителей Nvidia, но не от AMD или Intel. Microsoft готовит собственный чип под названием Athena Похоже, у компании Microsoft большие планы на собственные чипы в разных сегментах. Ранее мы уже слышали, что компания хочет создать собственные платформы для своих ноутбуков Surface, теперь же сообщается, что уже в ноябре Microsoft представит собственные чипы для работы...

Технология аккумуляторов «Солнце в коробке» превзойдет литий-ионные: в 10 раз дешевле и мощнее Компания Fourth Power, стартап, поддерживаемый Биллом Гейтсом, намерена перевернуть рынок накопителей энергии благодаря своей технологии сверхвысокотемпературных тепловых батарей, получившей название «солнце в коробке».

Intel получила от ASML первый инструмент для производства микросхем High-NA EUV Intel стала первым первопроходцем революционной новой технологии литографии

В России наращивают производство игровых и рабочих компьютеров. «Аквариус» и Nemifist подписали соглашение о партнерстве Российские компании «Аквариус», разработчик компьютерного оборудования и IT-решений, и Nemifist, производитель игровых компьютеров и персональных систем, подписали соглашение о технологическом партнерстве. Это сотрудничество позволит значительно расширить пр...

iPhone 15 Pro Max смог обойти Samsung Galaxy S23 Ultra, но незначительно. Смартфоны сравнили по скорости работы Авторы канала PhoneBuff сравнили iPhone 15 Pro Max и Galaxy S23 Ultra по скорости работы. Как всегда, использовался роботизированный манипулятор, который избавляет такие тесты от человеческого фактора.  Как можно видеть, почти весь первый круг смартфоны шли максим...

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Meizu 21 получит 200-мегапиксельный сенсор. Создатели смартфона рассказали, чем он лучше Samsung Galaxy S23 Ultra Meizu сегодня раскрыла важную подробность о камере нового Meizu 21: оказывается, в нем применяется 200-мегапиксельный сенсор Samsung ISOCELL, причем не обычный, а доработанный специалистами компании. В чем заключается доработка, неизвестно, но Meizu говорит о том, что ...

Ажиотажный спрос на автобусы МАЗ: побит 10-летний рекорд МАЗ побил 10-летний рекорд по производству автобусов в первом квартале этого года, о чем сообщает издание quto.ru, которое отмечает ажиотажный спрос на данную технику. С января по март Минский автозавод выпустил 392 автобуса, достигнув ежемесячного темпа на уровне 135 е...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

MediaTek Dimensity 8300 Ultra оказался мощнее более дорогих конкурентов Компания MediaTek делает существенные шаги в разработке своих флагманских процессоров и моделей среднего класса для смартфонов, что не может не радовать, ведь отсутствие конкуренции может погубить рынок в целом. Например, процессорв DImensity 9300 выглядит впечатляющим решен...

Великое возвращение Huawei: компания обошла всех и возглавила китайский рынок смартфонов, по данным Canalys Huawei удалось вернуться в лидеры на рынке смартфонов Китая, опередив Apple, Oppo, Vivo и другие компании. Об этом говорится Данные за первый квартал 2024 года, опубликованные в конце прошлой неделе аналитической компанией Canalys, показывают, что Huawei поставила в Кит...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Samsung впервые выпустит недорогие складные смартфоны Galaxy Z Fold FE и Flip FE Инсайдеры раскрыли первые подробности о складных смартфонах Samsung Galaxy Z Fold FE и Flip FE — более доступных версиях популярных моделей.

Почему вымерли плазменные телевизоры, некогда сменившие своих кинескопных собратьев В 2000 году новая передовая технология «плазменных панелей» навсегда вытеснила с рынка кинескопные экраны. И не оставила тогда никаких шансов своему конкуренту в виде ЖК-экранов, сильно отстающих от нее по всем параметрам. Но вскоре все изменилось, и теперь «плазма» в прошло...

Рынок ARM-чипов расширяется, но для Intel это не проблема: мнение генерального директора компании Многие компании уже выпускают, а некоторые — лишь собираются выпускать процессоры на ARM-архитектуре. Среди наиболее коммерчески успешных моделей — чипы от Apple, а также Qualcomm. Также к производителям таких чипов собираются присоединиться Nvidia и AMD. Правда, эти две ком...

Seagate выпустит HDD с лазерным подогревом емкостью от 30 ТБ уже в этом квартале. Что это за диски? Корпорация Seagate уже достаточно давно анонсировала свои жесткие диски нового типа с очень высокой плотностью хранения информации. Речь идет о HDD с необычной технологией прогрева «блинов» дисков при помощи лазера. Это нужно для повышения плотности записи данных, в результ...

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

AMD сдержала обещание и раскрыла все карты относительно своих малых ядер Zen 4c Компания AMD выполняет обещание и раскрывает больше подробностей о ядрах Zen 4c в некоторых своих мобильных APU.  Напомним, эти ядра технически отличаются от Zen 4 только размером, но также работают на более низких частотах. Именно частоты ранее AMD нигде не указы...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

BYD планирует захватить значительную долю рынка электромобилей Японии В данном регионе против компании не вводили заградительных пошлин, но имеется масса серьезных конкурентов среди местных производителей

Технология анимации лица Audio2Face от NVIDIA появится в MMO World of Jade Dynasty В 2023 году компания NVIDIA представила необычную технологию Audio2Face, которая помогает в создании реалистичной анимации лица, а уже сегодня было анонсировано, что она появится в играх World of Jade Dynasty — сиквеле MMORPG Perfect World, и приключении Unawake от разработч...

Автомобиль Xiaomi Modena получит 1,5-литровый мотор Как пишет carscoops, компания Xiaomi работает на только над электромобилем, но и над гибридной версией с увеличенным запасом хода. Предполагается, что Xiaomi предложит две версии своего электромобиля под названием Modena. В первом будет использоваться батарея Blade от B...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Samsung планирует выпустить часы Galaxy Watch с использованием технологии microLED к 2025 году Если Samsung сможет разрешить проблемы с производством и снизить стоимость, они смогут внедрить microLED в часы Galaxy Watch

Seagate планирует начать производство жёстких дисков объёмом от 30 Тб по новой технологии HAMR Жёсткие диски поступят в продажу в 1 квартале 2024 года

Apple хотела купить Tesla, но Маск в этом случае планировал возглавить Apple Авторитетные американские издания сообщили подробности о рассматриваемой в свое время сделке между Apple и Tesla.

Новый iPhone 16 получит невероятно мощный чип Мобильный процессор M4, установленный в последних моделях iPad Pro с диагональю 11 и 13 дюймов, в настоящее время является самым мощным и эффективным чипом компании Apple. Кроме того, технологический гигант утверждает, что этот чип оснащён самым производительным нейропроцесс...

Сможет ли IPFS полностью заменить HTTP? Меня зовут Виталий Киреев, я руководитель R&D в SpaceWeb. В начале прошлого года мы внедрили IPFS-технологию в работу своего хостинга, и все наши клиенты получили возможность размещать контент в IPFS-сети. Решились на такой шаг не сразу: IPFS — технология пока еще экспер...

«Эпохальный ноутбук с искусственным интеллектом». Представлен Honor MagicBook Pro 16: Intel Core Ultra 7, Nvidia GeForce RTX 40 Laptop и экран 3К 165 Гц Honor сегодня не только представила флагманский смартфон Magic6 Pro, но и представила флагманский ноутбук MagicBook Pro 16 2024. Он преподносится производителем как «эпохальный ноутбук с искусственным интеллектом», а еще это первый в мире Windows-ноутбук с п...

Теперь и у Intel есть ускоритель быстрее Nvidia H100. Компания представила Gaudi 3 Компания Intel представила новое поколение своих ускорителей для ИИ — Gaudi 3. Если точнее, это целая линейка ускорителей, в которую входит сразу несколько моделей.  Gaudi 3 — чиплетный процессор. Для подобного класса продуктов это уже стало нормой. В ...

Realme GT5 Pro получит самый дорогой телеобъектив Компания Realme раскрыла новые подробности о смартфоне Realme GT5 Pro, который еще не был представлен официально. Итак, аппарат получит модуль основной камеры с 50-Мп датчиком изображения Sony IMX890 и телеобъективом с диафрагмой F/2,6, 3-кратным оптическим зумом и оптическо...

Российскую систему распознавания лиц будут использовать в такси в Саудовской Аравии Российская компания NtechLab будет сотрудничать с цифровой платформой по заказу такси EGO, которая работает в Саудовской Аравии. Меморандум об этом был подписан в ходе выставки технологий и стартапов Gitex Africa 2024. ПО для распознавания лиц от NtechLab компания EGO с...

Распланировать бюджет компании и не поседеть: как мы автоматизировали процесс, который не любит никто  Так выглядит стартовая страница. Цифры и имена на всех скринах выдуманы, даны для наглядности. Детали интерфейса разберем подробнее ниже. Любой бизнес, хоть кофейня, хоть компания по производству космических шаттлов, планирует ресурсы и считает, что во сколько обойдется. А...

Худшие процессоры MediaTek, способные испортить любой смартфон Компания MediaTek уже давно перестала восприниматься как производитель плохих процессоров для смартфонов. Большинство ее чипсетов, выпущенных за последние 5 лет, почти не греется и демонстрирует отличные показатели мощности, зачастую превосходящие решения Qualcomm в своем к...

Акции Tesla растут, несмотря на сокращение 10% персонала и снижение прибыли в первом квартале на 55% Компания Tesla, принадлежащая Илону Маску, сообщила о существенном падении квартальной прибыли на фоне увольнений и жесткой конкуренции на рынке электромобилей. Несмотря на это, компания планирует ускорить производство более доступных автомобилей, что вызвало рост акций.

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Российская компания внедрит технологию беспилотного судовождения В 2024 году российская компания Sitronics KT, которая входит в Sitronics Group, планирует провести испытания технологии беспилотного судовождения на электросудне «Экобас». Этот шаг станет важным этапом в развитии новых методов навигации, которые могут применяться на судах уж...

Brilliant Labs Frame: умные очки на базе ИИ, причем всего за $350. Что это за девайс? Видеоочков в мире достаточно много, некоторые компании предпринимали попытки сделать их распространенными носимыми устройствами, но безуспешно. У Google был интересный проект Google Glass, когда умные очки не были похожи на маску лыжника. Они были достаточно удобны, можно бы...

Аппаратной основой Samsung Galaxy S26 может стать 2-нм чип Samsung Tethys Samsung активно работает над 2-нанометровым техпроцессом и планирует запустить его раньше TSMC.

Ученые изучили «клей», скрепляющий слои кожи Ученые, занимающиеся наноскопической «детективной» работой, раскрыли секреты внеклеточного матрикса — клея, скрепляющего слои кожи. С помощью мощного микроскопа и модельного организма, скромного круглого червя, они обнаружили скрытые узоры и основы — ключ к пониманию структу...

Это самый сложный и современный чип китайской разработки. Nio представила SoC Shenji NX9031 с 50 млрд транзисторов и 32 ядрами Пока компания Huawei вместе со SMIC привлекают внимание их 7-нанометровой SoC Kirin и слухами о работе над 3-нанометровыми чипами, китайская компания Nio, которая к полупроводникам в целом отношения не имеет, создала собственный чип по техпроцессу 5 нм.  Однокрист...

Железный бряк. Используем хардверные брейк-пойнты в пентестерских целях Для подписчиковWindows предоставляет мощные инструменты для установки точек останова непосредственно в памяти. Но знаешь ли ты, что с их помощью можно ставить и снимать хуки, а также получать сисколы? В этой статье я в подробностях расскажу, как это делать.

2,4K, 120 Гц, 16/256 ГБ, громкий динамик, 64 Мп и 5000 мА•ч — дешевле $100. Подробности о модели Blackview Shark 8 Компания Blackview, которая ранее представила смартфон Shark 8, опубликовала новые подробности и изображения новинки. Blackview Shark 8 будет доступен в цветах Galaxy Blue, Blaze Gold и Moonlight Grey. Смартфон Shark 8 оснащен фронтальной камерой Samsung ISOCELL 3L6 на ...

Продажи Skoda Octavia и Skoda Kodiaq, собранных в Казахстане, стартуют через два месяца Появились подробности о проекте сборки в Казахстане сразу четырех моделей Skoda: Octavia, Kamiq, Karoq и Kodiaq. На данный момент соглашение между Skoda и заводом Allur подразумевает только отверточную сборку, но если сотрудничество будет плодотворным, а автомобили буду...

NASA определило трёх ключевых партнёров для разработки луноходов программы Artemis Опубликованные документы NASA раскрывают процесс выбора трёх компаний, которые будут продолжать работу над разработкой лунохода для программы Artemis. Сбалансированные затраты, возможности и опыт оказались решающими факторами при отборе. 3 апреля NASA объявило о выборе ...

iPhone 17 станет первым смартфоном Apple, разработка которого стартует за пределами Китая Компания Apple всё активнее будет переносить производство своих iPhone из Китая в Индию. Как сообщает аналитик Tianfeng International Минг-Чи Куо (Ming-Chi Kuo), базовый iPhone 17 станет первым смартфоном Apple в истории, разработка которого стартует за пределами Китая....

Собственные чат-бот Apple GPT и большая языковая модель Apple отстают от ChatGPT и Gemini Bloomberg отмечает, что собственная технология генеративного искусственного интеллекта Apple отстает от конкурентов. Сотрудники Apple тестировали собственного чат-бота под названием Apple GPT, кроме того, у компании есть собственная большая языковая модель под кодовым н...

Как тебе такое, Starlink? Компания AST SpaceMobile запустит собственную спутниковую мобильную сеть Компания Илона Маска SpaceX продолжает активно запускать на орбиту Земли спутники Starlink, ещё одной его организации. Услуги текстовых сообщений должны заработать уже в этом году, а в следующем, 2025, будет активирована голосовая связь и передача данных. В январе 2024 года ...

Главный конкурент Tank 500 Hi4-T. Рассекречен брутальный рамный полноприводный внедорожник BAIC BJ60 EREV BAIC BJ60 нынешнего поколения не является новинкой, но сейчас у него появилась новая версия, которая обещает быть мощнее других вариантов и в то же время экономичнее. Эта версия – с последовательной гибридной силовой установкой (EREV). В Китае такой BAIC BJ60 сраз...

Samsung Galaxy Watch получат дисплей microLED в 2025 году Компания Samsung продолжает делать успехи в области технологии microLED, но на данный момент компания ограничивает использование данных передовых дисплеев своими огромными телевизорами, которые стоят небольшое состояние. Но, по новым слухам, корейский гигант планирует примен...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

В этом году дочерняя компания МТС «Иртея» планирует запустить 300 базовых станций Российский разработчик телекоммуникационного оборудования «Иртея» — дочерняя компания сотового оператора МТС — планирует в 2024 году начать эксплуатацию 300 своих базовых станций. Об этом рассказал на конференции ЦИПР-2024 генеральный директор ко...

Aurus планирует до конца 2024 года запустить производство премиальных авто на бывшем заводе Toyota В мае представитель Минпромторга РФ сообщил, что бывший завод Toyota в Санкт-Петербурге уже передали компании Aurus. Продажи планируют начать в 2025 году.

«Убили двух зайцев», — глава АвтоВАЗа назвал истинные причины переноса производства Lada Largus в Ижевск Перенеся производство Lada Largus из Тольятти в Ижевск, АвтоВАЗ «убил двух зайцев», о чем заявил президент компании Максим Соколов. Это позволит перезапуститься заводу в столице Удмуртии и освободить часть конвейера на главной площадке под сборку новой модел...

Первый пилотируемый запуск космического корабля New Shepard с 2022 года запланирован на 17 мая Компания Blue Origin объявила о предстоящем старте своего суборбитального космического корабля New Shepard с экипажем на борту. Седьмая пилотируемая миссия намечена на 19 мая текущего года. Пусковое окно для вывода New Shepard с шестью членами экипажа откроется в 08:30 ...

Стало известно, когда стартует производство Lada Granta с «автоматом» Инсайдеры раскрыли подробности о запуске в производство Lada Granta с автоматической 4-ступенчатой коробкой передач Jatco. По данным паблика Avtograd News, сборка таких машин начнется в апреле. Тогда же стартует и производство Granta Cross. Изображение: Lada «Пре...

Япония вложит 67 миллиардов долларов в производство чипов на территории страны Власти планируют вернуть Японии лидерство в сфере современных технологий

Siri получит "проактивный интеллект" на базе ИИ от Apple Согласно последним отчетам, Apple намерена значительно усовершенствовать своего виртуального помощника Siri на основе технологий искусственного интеллекта. Компания также готовит к выпуску новые инструменты редактирования, использующие ИИ. Подробности будут раскрыты на ежего...

Apple первая получит доступ к 2-нанометровым чипам TSMC По различным сообщениям, TSMC планирует начать производство 2-нм чипов в конце 2025 года.

Google назвала ваш ушной канал "идеальным местом для определения здоровья" Google раскрыла результаты исследований в области технологии аудиоплетизмографии (APG), которая может обеспечить мониторинг сердечного ритма в шумоподавляющих наушниках с помощью простого обновления программного обеспечения.

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Virgin Galactic успешно осуществила шестой коммерческий полёт Galactic 06 Космическая компания Virgin Galactic успешно осуществила шестой коммерческий полёт, получивший название Galactic 06. В рамках этой миссии, четверо пассажиров отправились в суборбитальное пространство и благополучно вернулись на Землю. Источник: Virgin Galactic Пос...

Появилось новое "умное" кольцо, претендующее на звание самого легкого, но при этом самого мощного Французская компания Circular недавно выпустила на рынок свое первое "умное" кольцо

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Станки для печати 5-нм чипов Canon стоят в 10 раз меньше машин ASML Компания Canon планирует продавать свое новое оборудование для производства микросхем по гораздо более низкой цене, чем у литографических машин ASML. Новая технология наноимпринтинга (nanoimprint), разработанная токийской компанией, предоставит возможность мелким произв...

Apple, а где хвалёная мощь GPU в A17 Pro? В тесте 3DMark Wildlife Extreme новый iPhone 15 Pro Max уступает даже Samsung Galaxy S23 Ultra Автономность iPhone 15 Pro Max лучше, чем у основных конкурентов на Android, но не особо значительно Компания Apple вывела на рынок первую потребительскую SoC, созданную по техпроцессу 3 нм. Однако, похоже, даже такая платформа в новых iPhone перегревается. Тесты показ...

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

В Челябинске запустили цех по изготовлению режущего инструмента с полной локализацией производства Компания "ЧКЗ-Инструмент" открыла собственное производство твердосплавного режущего инструмента в Челябинске с полной локализацией. В планах - стать лидером на рынке, где господствует Китай. Благодаря государственной поддержке и использованию передовых технологий, компания п...

В Ростовской области организуют производство инженерных полимеров Соглашение по проекту с объемом инвестиций на первом этапе в размере трехсот миллионов рублей подписано 6 июня на Петербургском международном экономическом форуме губернатором Василием Голубевым и генеральным директором научно-производственной компании «Элмика» Ириной Антипо...

Это новейший Tank 300 Hi4-T, и он может оказаться дешевле, чем ожидалось. Официальные фото и новые подробности Гу Юкунь (Gu Yukun), заместитель генерального директора бренда Tank, опубликовал в соцсети Weibo заметку, в которой коснулся ряда проблем, с которыми компании приходится сталкиваться при запуске в производство гибридного внедорожника Tank 300 Hi4-T. Свои слова он сопров...

Microsoft хочет улучшить процесс производства Surface с помощью ИИ Microsoft в своём блоге подробно рассказала о том, как команды Surface и Azure использовали облачные технологии высокопроизводительных вычислений для революции в процессе проектирования новых устройств линейки Surface. Заявляется, что это позволило уменьшить затраты и сокра...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Huawei может ещё больше. Компания готовит новую SoC Kirin 830, которая выйдет в конце года и станет сердцем Nova 12 Компания Huawei может выпустить ещё одну новую платформу Kirin. Согласно данным инсайдера Wisdom Pikachu, Kirin 830 может выйти в конце года.  Платформа станет сердцем смартфонов линейки Nova 12. Если точнее, как минимум модели Nova 12, тогда как Nova 12 Pro получ...

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

AMD FSR наконец-то станет похожа на Nvidia DLSS? Компания заявила, что будет использовать искусственный интеллект в этой сфере Похоже, технология AMD FSR в будущем станет больше похожа на DLSS, что должно сделать её более конкурентной.  AMD сообщила, что активно внедряет искусственный интеллект во все свои продукты, отметив, что ИИ позволит масштабировать производительность игровых решени...

Первый мозговой чип Neuralink Илона Маска столкнулся с проблемами Компания Илона Маска Neuralink, занимающаяся разработкой интерфейсов мозг-компьютер, признала неудачи в создании своего первого имплантата для человека. Несмотря на первые успешные примеры, когда парализованный человек управлял курсором и играл в видеоигры с помощью своего р...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Министр обороны Косиняк-Камыш: Польша не отправит своих военнослужащих на Украину Польша не готовится и не планирует отправлять свои войска на Украину, но продолжит оказывать военную помощь Киеву.

2K 144 Гц, 4880 мА·ч, 120 Вт, IP68 и Snapdragon 8 Gen 3. Первое живое фото iQOO 12 – он выступил альтернативой Xiaomi 14 и Samsung Galaxy S24 На следующей неделе состоится официальная премьера однокристальной системы Qualcomm Snapdragon 8 Gen 3, а уже 7 ноября дебютируют первые флагманы IQOO с ней – iQOO 12 и iQOO 12 Pro. Младшую модель кому-то удалось заснять в метро в Китае. Одновременно известный инф...

Производители оперативной памяти планируют продолжить сокращение производства своих продуктов Компании хотят тем самым повысить стоимость своих товаров, так как за последнее время цены на оперативную память упали до минимумов

В Свердловской области построят завод по производству микропроцессоров На Урале планируется возведение нового завода компании «Мультиклет» для производства мощных микропроцессоров RISC-V, что поможет удовлетворить потребности предприятий в сфере микроэлектроники. Этот проект считается важным для достижения технологического суверенитета России.

TSMC раскрыла подробности о чипах для iPhone 18 На цифрах очень круто.

Характеристики Sphere в Лас-Вегасе – два 16K дисплея и 4 ПБ флеш-памяти со скоростью 400 ГБ/с Компания Sphere Entertainment раскрыла некоторые подробности о самом большом светодиодном экране за всю историю. Так характеристики экрана включат два 16K дисплея и 4 ПБ флеш-памяти со скоростью 400 ГБ/с Las Vegas Sphere высотой 34 метра и шириной 157 метров, стоимость…

Входящие в профсоюз работники Samsung в Южной Корее планируют устроить забастовку Это возможно будет первая забастовка в истории Samsung. В течение последних нескольких недель сотрудники время от времени протестовали перед офисами компании в Сеуле и заводом по производству чипов в Хвасоне.

Asahi Linux превзошел собственную поддержку Apple на Mac с SoC компании Проект Asahi Linux, представляющий собой сообщество по внедрению Linux на компьютеры Mac с Silicon, достиг выдающегося результата: он превзошел собственную поддержку графических API OpenGL и OpenGL ES в macOS. Этот независимый проект, поддерживаемый энтузиастами, сумел опере...

Tesla пытается вернуть свои позиции на китайском рынке, прибегая к новым страховым субсидиям За последние годы, компания существенно уступила в продажах своим конкурентам из Поднебесной

Samsung намерена достичь 1000-слойной памяти NAND с помощью новых ферроэлектриков Samsung уже представил технологию V-NAND с укладкой в 290 слоев, а также планирует выпустить продукт с укладкой в 430 слоев.

Похоже, ASUS планирует представить ИБП или мощную зарядную станцию в виде молота Тора Компания подтвердила, что это не первоапрельская шутка.

Тольяттинская компания запустит производство филаментов из композиционных материалов Резидент технопарка «Жигулевская долина» 3DVolk (ООО «3ДВолк Технологии») вошел в инновационный центр «Сколково» с проектом по разработке технологии производства высокотемпературного полимерного композита для 3D-печати.

1817 л.с., 6,6 л и 483 км/ч. Представлен самый мощный в мире гиперкар Hennessey Venom F5 Roadster выставили на продажу Самый мощный в мире на момент анонса гиперкар, который получил название Hennessey Venom F5 Roadster, выставляют на продажу. Аукцион пройдет в начале марта, за него планируют выручить от 1 200 000 до 1 650 000 долларов. Это второй собранный производителем автомобиль, а в...

Intel завершает установку первого станка для производства чипов EUV Компания Intel завершила процесс установки литографической машины High-NA EUV, полученной от ASML. Технологический гигант будет разрабатывать технологии 14A на этих дорогостоящих машинах в 2025 году.

Amazon внедряет технологию для устойчивого управления отходами Amazon активно работает над сокращением мусора, который генерируется в процессе деятельности компании. Компания заявляет, что в 2021 году использовала около 97 миллионам килограммов одноразового пластика в упаковке своих товаров. Однако, некоммерческая организация Ocean...

Swarovski Optik представила умный бинокль AX Visio с технологией распознавания объектов Австрийская компания Swarovski Optik представила первый в мире умный бинокль AX Visio, способный идентифицировать живые объекты с помощью алгоритмов машинного обучения

Samsung выпустит Galaxy S24 раньше времени Сегодня зарубежные инсайдеры сообщили, что компоненты для нового флагманского смартфона Galaxy S24 запустят в производство уже в следующем месяце, и Samsung планирует выпустить новые смартфоны даже раньше, чем говорили поставщики секретной информации. Это необходимо производ...

Apple приобретает стартап DarwinAI для усиления позиций в гонке ИИ Apple приобрела канадский ИИ-стартап DarwinAI с целью интеграции передовых технологий в свои продукты и опережения конкурентов на рынке искусственного интеллекта.

Wildberries запустит «умную выдачу» заказов в Сколково Онлайн-ретейлер Wildberries изучает применение новой технологии для ускорения выдачи заказов, планируя запустить свой первый «пункт выдачи заказов будущего» в инновационном центре Сколково, сообщила гендиректор компании Татьяна Бакальчук.

Qualcomm Snapdragon 8 Gen 4 будет потреблять слишком много энергии Появилась интересная информация о том, что компания Qualcomm может столкнуться с трудностями, связанными с энергопотреблением нового мобильного процессора Snapdragon 8 Gen 4. Специалисты отмечают, что проблему в этом направлении не удалось решить даже при помощи нового техно...

OnePlus 12 получит два ключевых преимущества Сегодня компания OnePlus раскрыла две ключевые детали о предстоящем флагмане OnePlus 12, которые могут заинтересовать многих пользователей из-за того, что у конкурентов на рынке этих преимуществ чаще всего нет. Дело в том, что OnePlus 12 получил полноценную степень защиты от...

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Работа над новой «Волгой» кипит — новую машину под легендарным брендом планируют выпустить в 2024 году Губернатор Нижегородской области Глеб Никитин подтвердил, что ГАЗ работает над проектом новой «Волги». «Очень ждём возобновления производства новой Волги. В конце марта Горьковский автозавод зарегистрировал товарный знак Volga и ведёт соответствующую ...

ExoTerra Resources привлекла $8 млн на наращивание производства двигателей для спутников на эффекте Холла Компания ExoTerra Resources со штаб-квартирой в Колорадо привлекла $8 миллионов на расширение производства двигательных систем для микроспутников. Финансирование предоставил Инновационный фонд Lago, входящий в состав Lago Asset Management. Последние инвестиции помо...

Компанию Nvidia обвинили в том, что компания превратилась в картель GPU и не терпит, когда её клиенты обращаются к конкурентам Похоже, компания Nvidia действительно ведёт себя достаточно агрессивно со своими партнёрами, если речь заходит о сотрудничестве этих самых партнёров c конкурентами Nvidia.  создано DALL-E Компания Groq, недавно представившая впечатляющий своей производительностью ...

Китайский автопроизводитель BYD существенно снизил цены на свои автомобили в КНР Эксперты считают, что таким образом компания собирается завоевать ещё большую долю рынка у своих конкурентов в лице Toyota и Volkswagen

Tesla раскрыла подробности весеннего обновления ПО своих электрокаров Вероятно, Tesla, которая обычно не делает крупных весенних обновлений, а выкатывает серьёзные изменения в ПО в рамках праздничных обновлений, решила отойти от своей традиции и добавит новые функции в предстоящем весеннем обновлении.

Небольшой конкурент Samsung по чипам памяти SK Hynix станет победителем в области искусственного интеллекта — Bloomberg Акции Samsung Electronics растут не так быстро, как акции её конкурента по производству чипов памяти SK Hynix. Как пишет Bloomberg, инвесторы делают ставку на то, что именно последняя станет победителем в области искусственного интеллекта. Акции SK Hynix выросли на 67% ...

Глава Nokia продемонстрировал первый в мире телефонный звонок с эффектом «полного погружения» Генеральный директор компании Nokia Пекка Лундмарк (Pekka Lundmark) совершил первый в мире телефонный звонок с использованием технологии «иммерсивного аудио и видео». По заявлению компании, новая разработка улучшает качество звонка с помощью трёхмерного звук...

Процессор Zilog Z80 спустя почти 50 лет решили снять с производства Мир технологий прощается с легендой. Спустя почти 50 лет компания Zilog прекращает производство процессора Z80 — микропроцессора, на котором работало бесчисленное множество консолей, аркадных автоматов и встраиваемых устройств.

Этот грузовик будут выпускать на бывшем российском заводе Volvo. В Россию приехала первая партия Chenglong H7 – конкурента магистрального КамАЗа К5 Как сообщил Telegram-канал «Автопоток», в Россию приехала первая партия магистральных тягачей Chenglong H7 (бренд Chenglong принадлежит Dongfeng), до конца года дистрибутор марки, Техника-СТ, планирует продать 400 грузовиков. План продаж на 2024 года побольш...

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Самый дизайнерский смартфон за свои деньги? Nothing показала Phone (2a), и он выделяется среди других смартфонов на рынке Компания Nothing наконец-то раскрыла внешний вид своего грядущего смартфона Phone (2a), который полноценно представят 5 марта.  Оказалось, что самые первые утечки были верны. Можно видеть, что новинка совсем не похожа на первые две модели компании, да и в целом вы...

Western Digital представила первый в отрасли чип памяти 3D QLC NAND емкостью 2 Тб Компания Western Digital представила первый в отрасли чип памяти 3D QLC NAND емкостью 2 Тб, что соответствует объему 256 ГБ.  В настоящее время микросхема находится на стадии прототипа, но компания заявляет, что этот чип NAND обеспечивает самую высокую плотность н...

Lenovo планирует сделать более 80 % своих устройств ремонтопригодными к 2025 году А также наладить производство соответствующих запчастей

«КАмАЗ» раскрыл первые рендеры беспилотного грузовика Компания «КАмАЗ» опубликовала первые фото своего беспилотного карьерного самосвала «Атлант 49». В Telegram-канале автопроизводителя рендеры появились после того, как их опубликовал канал «Еду в Татарстан».

Смартфон Realme P1 5G получит яркий 120-Гц экран Компания Realme раскрыла первые подробности о недорогих смартфонах Realme P1 5G и Realme P1 Pro 5G, которые еще не были представлены официально. Итак, младшая модель получит 6-нанометровую однокристальную систему MediaTek Dimensity 7050 с тактовой частотой до 2,6 ГГц и графи...

Intel подготовила процессоры и видеокарты для новых ИИ от Microsoft В последнее время крупные компании из мира высоких технологий достаточно активно говорят и работают над технологиями на базе искусственного интеллекта, потому что это позволит в будущем реализовать крайне выгодные коммерческие проекты. И компания Intel, которая сейчас явно п...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Это будет первая раскладушка на рынке с необычным дизайном, без вырезов в экране и выступающих камер? В Сети засветилась Nubia Flip Компания ZTE в лице своего бренда Nubia готовит свой первый складной смартфон. Это будет раскладушка Nubia Flip, которая уже засветилась в базе IMEI с каталожным номером NX724J.  просто концепт Подробностей о продукте пока нет, но, учитывая актуальные модели Nubia...

Почему Helio G99 — до сих пор лучший процессор для недорогого Android-смартфона Жизнь мобильного процессора скоротечна. Так, флагманские чипы ежегодно сменяют друг друга, и место условного Snapdragon 8 Gen 2 уже через 12 месяцев занимает Snapdragon 8 Gen 3. Правда, есть исключения, одно из которых — процессор Helio G99. Этот чип появился в 2022 году, ч...

В России начнётся производство современных печатных плат в больших объёмах В скором будущем Россия может получить свое собственное производство печатных плат до 7-го класса точности (применяются в наиболее передовой электронике), о чем сообщает «Коммерсантъ» со ссылкой на источники на рынке электроники. По данным издания, мощн...

Обзор Motorola Moto G54 – мощный конкурент Xiaomi и Samsung Обзор Motorola Moto G54 (Power edition) - характеристики, фото, цена, конкуренты, стоит ли покупать, где купить дешевле

От телефонов до электромобилей: как себя проявит рост стоимости цветных и драгметаллов Цены на драгоценные металлы, которые используются в производстве электроники, значительно выросли за последние пять лет. Например, стоимость меди за этот период увеличилась на 66%, подскочив от $5 000 за тонну в 2020 году до $8 300 в 2024. В результате этих изменен...

Samsung раскрыла дизайн складных Galaxy Z Flip 6 и Fold 6 в рекламной публикации Инсайдерский портал SamMobile, следящий за новинками Samsung, рассказал, что вендор «случайно» раскрыл дизайн своих складных моделей Z Flip 6 и Fold 6 ещё до официального анонса.

В «Бауманке» запускают первое в России контрактное производство квантовых процессоров В будущем производство сверхпроводниковых квантовых процессоров в МГТУ им. Н.Э. Баумана планируют масштабировать.

Mobvoi представила умные часы TicWatch Pro 5 В мае прошлого года компания Mobvoi представила свои новые умные часы TicWatch Pro 5, а год спустя бренд анонсировал модель Enduro — необычную версию в линейке TicWatch Pro для энтузиастов, которым нужны прочные умные часы. Стоит отметить, что часы TicWatch Pro 5 Enduro рабо...

РФЯЦ–ВНИИТФ отгрузил первые волоконные лазеры собственного производства Лазеры Всероссийского научно-исследовательского института технической физики имени академика Е. И. Забабахина (РФЯЦ–ВНИИТФ) предназначены среди прочего для применения в отечественных 3D-принтерах по технологии селективного лазерного сплавления металлопорошковых композиций. ...

Первый автомобиль Huawei протестировали: на кого из конкурентов он похож В одном из выпусков на YouTube-канале «За рулем» эксперты рассказали об особенностях первого автомобиля от компании Huawei.

Samsung готовит к запуску память LPDDR6 Сегодня появилась информация о том, что компании Samsung и SK Hynix сотрудничают для получения сертификации на память LPDDR6. По информации специалистов, корейские компании готовы начать производство микросхем памяти, как только стандарт будет утвержден JEDEC, чтобы обойти к...

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)