Социальные сети Рунета
Вторник, 2 июля 2024

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Клиенты не хотят прожорливые чипы Samsung и заказывают у TSMC даже после повышения цен Цена 3-нм чипов производства TSMC выросла на 5%, но несмотря на это, заказов по-прежнему не хватает. Аналитики говорят, что клиенты отдают предпочтение надежности и качеству, а не цене. Ожидалось, что что после повышения цен TSMC компания Samsung переманит некоторых кли...

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

SK hynix обеспечивает выполнение крупных заказов на память с высокой пропускной способностью Южнокорейский производитель микросхем SK hynix получил заказы на весь объем памяти с высокой пропускной способностью (HBM), запланированный к выпуску в 2024 году, а также на значительную часть чипов, которые будут произведены в 2025 году.

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Samsung летом начнёт поставки чипов памяти для ИИ из-за усиливающейся конкуренции Южнокорейский вендор Samsung заявил о возобновлении роста производства и налаживания каналов сбыта для своих «самых передовых» чипов памяти HBM3e. На рынке этих микросхем обостряется конкуренция: Samsung выходит на борьбу со своим прямым конкурентом, тоже южнокорейским произ...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Samsung Galaxy S26 может получить 2-нм чип Samsung Tethys Samsung работает над 2-нм техпроцессом, при этом компания хочет первой выпустить такие чипы, превзойдя TSMC. Как пишет gizmochina, компания получила первый заказ на производство 2-нм чипов от японской компании Preferred Networks (PFN). Кроме того, появились сведения, чт...

Прибыль компании Samsung в первом квартале увеличится на 931% Финансовый отчет, опубликованный компанией Samsung, позволяет предположить, что черная полоса в производстве чипов для южнокорейского технологического гиганта закончилась.

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Samsung переносит производство 1-нм чипов на год вперед - запуск состоится в 2026 году Ожидается, что южнокорейская компания объявит о своих амбициозных планах в июне.

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Завод, выпускающий Solaris в России, попросил Hyundai возобновить местное производство стального проката и двигателей «Автомобильный завод АГР», который возобновил выпуск машин под новым брендом Solaris в Санкт-Петербурге, обратился к партнёрам Hyundai с целью начала сотрудничества по поставке стального проката и двигателей. Издание The Korean Economic Daily подтверждает ин...

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

TSMC запустила производство 3-нм чипов для компании Intel Процессоры Arrow Lake для настольных ПК и Lunar Lake для мобильных устройств будут использовать 3-нм техпроцесс TSMC.

Hyundai построит в Польше завод для производства новейших БМП N-WAV 8х8 Южнокорейская компания уже нашла несколько потенциальных покупателей данных боевых машин

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

DigiTimes: TSMC начала массовое производство 3-нм кристаллов для новых процессоров Intel В частности, Intel выбрала 3-нм техпроцесс TSMC для производства вычислительной «плитки» будущих процессоров Core Ultra 200 семейства Lunar Lake.

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Латвия для усиления армии закупает 100 южнокорейских БМП К21 Южнокорейская компания Hanwha Aerospace конкурирует в Латвии со своей боевой машиной пехоты K21 обойдя испанскую и турецкую компанию.

Samsung Foundry всеми силами старается заполучить заказы на следующие GPU Nvidia Samsung предпринимает все возможные действия для заключения контракта с Nvidia на техпроцесс 3 нм

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Uber закрыл сервис по доставке алкоголя Uber принял решение закрыть Drizly, сервис по доставке алкоголя, который компания приобрела три года назад за $1.1 млрд.

Очередь на 3-нм техпроцесс TSMC дошла уже до 2026 года Тайваньская компания TSMC отметила беспрецедентный спрос на свой новейший 3-нм техпроцесс. Крупнейшие клиенты, такие как Apple, Qualcomm, Nvidia и AMD, обеспечили очередь заказов до 2026 года. Резкий рост спроса обусловлен растущим использованием полупроводников в серверах и...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

GlobalFoundries попала в ловушку старых техпроцессов и начала терять заказы клиентов По этой причине она теперь сконцентрируется на автомобильном сегменте.

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Нет, Huawei пока даже не собирается догонять конкурентов. Компания сейчас сосредоточена на техпроцессе 7 нм, так как у него ещё много проблем Компания Huawei сейчас имеет доступ к 7-нанометровому техпроцессу SMIC, и считается, что уже в это году SMIC освоит нормы 5 нм. При этом некоторые источники говорят, что и 3 нм не за горами. Однако сама Huawei, похоже, так не считает и говорит, что сейчас для неё новые ...

Intel нахваливает свой новый техпроцесс Intel 3, но процессоры Lunar Lake отдала на производство TSMC Вчера мы узнали, что TSMC приступила к массовому производству процессоров Intel Lunar Lake, основная плитка которых производится по техпроцессу 3 нм. При этом и сама Intel начала выпуск по нормам Intel 3, которые, как минимум согласно своему названию, должны быть сходны...

Samsung и SK Hynix отказались от продажи старого литографического оборудования Южнокорейские компании Samsung Electronics и SK Hynix отказались от любых продаж старого литографического оборудования, используемого для производства чипов. На это повлияли торговые санкции США.

Samsung и SK Hynix приостановили продажи подержанного оборудования из-за возможных санкций США Производитель микросхем Samsung Electronics и южнокорейская SK Hynix прекратили продавать бывшее в употреблении оборудование для производства микросхем, опасаясь нарушения экспортного контроля США в отношении Китая и западных санкций в отношении России, о чем сообщила г...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Разработчики закрыли приложение, на котором был основан Nothing Chats Компания Sunbird, разработавшая приложение для обмена сообщениями между Android и iPhone через iMessage закрыла свой продукт из-за множества проблем с конфиденциалностью.

Samsung строит умные фабрики по производству чипов — собирать микросхемы будет исключительно ИИ Южнокорейский производитель микросхем намерен использовать "умные" технологии и полностью исключить людей из процесса производства кристаллов

Haier закрывает производство бренда Candy в России из-за низкой прибыльности Китайская компания Haier решила закрыть российское юридическое лицо бренда Candy, ООО "Канди С.Н.Г.", из-за его низкой прибыльности

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

ИТ-специалист объяснил почему мы видим много рекламы по интересующей теме ИТ-специалист рассказал почему реклама продукта, о котором вы говорили, появляется в Facebook* или Instagram*.

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Япония выделит Samsung $140 млн на строительство завода по производству чипов Южнокорейская компания Samsung Electronics получит от японского прравительства 20 млрд иен, или порядка $140 млн на строительство завода по производсву чипов, котрый разместится вблизи Токио. Об этом сообщило Министерство экономики, торговли и промышленности Японии.

До конца года США планируют расширить санкции против технологических компаний Китая В Вашингтоне считают необходимым, полностью закрыть инвестиции в китайские стартапы, связанные с ИИ или производством полупроводников

«Эфко» закроет бизнес по производству молочных продуктов — компания выпускает йогурты «Слобода» и Liberty В 2021 году компания продала молочное производство.

Samsung начнет использовать отходы от производства полупроводников для обогрева Подразделение DS компании Samsung Electronics подписало соглашение о сотрудничестве с Южнокорейским региональным теплоснабжающим обществом для использования отходов от производства полупроводников в качестве источника тепла для обогрева.

Южнокорейская индустрия микросхем вернулась к жизни: поставки и производство взлетели Двигатель экономической машины Южной Кореи снова оживает, и топливом для него служит кремний. Полупроводниковая промышленность страны, являющаяся одним из мировых лидеров, переживает возрождение, а объемы производства и поставок взлетели до рекордных отметок.

Samsung начала начала утаивать тип экрана в своих новых телевизорах Два года назад Samsung буквально оживила рынок телевизоров. Дочерняя компания южнокорейского гиганта, Samsung Display, начала производство новейших матриц QD-OLED — полноценного конкурента и замену устоявшихся на тот момент OLED, или WOLED.

Tesla планирует перейти на 3-нм чипы TSMC в 2024 году Компания TSMC получила заказ от Tesla на производство чипов для автопроизводителя на своем заводе в Аризоне.

Южнокорейская Sapeon представила превосходящую всех конкурентов ИИ-микросхему Компания Sapeon, поддерживаемая южнокорейской SK Group, вышла на арену ИИ-микросхем, представив свое последнее творение - Sapeon X330. Утверждая, что этот чип, превосходящий конкурентов по вычислительной производительности примерно в два раза и по энергоэффективности в 1,3 р...

Cruise под угрозой штрафов, TuSimple покидает США и новый репортер в команде TC Transpo General Motors (GM) прекратила производство модели Bolt EV, оставив вопрос о будущем её использования компанией Cruise, в то время как TuSimple закрыла свою деятельность в США, и к команде TC Transpo присоединился репортер Шон О'Кейн.

Высокий процент брака и плохая энергоэффективность. У Samsung большие проблемы с 3-нм техпроцессом Ранее ходили слухи, что Samsung добилась успеха со своими 3-нм техпроцессами, а разработка 2-нм техпроцессов продвигается гладко. Но, по данным Businesskorea, 3-нм техпроцесс Samsung Foundry по-прежнему значительно уступает технологиям TSMC как по проценту бра...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Китай и 5-нм техпроцесс: компании SMIC, скорее всего, удалось освоить новые технологии производства чипов Китайские компании, которые занимаются разработкой и производством электроники, несколько лет находятся под весьма жёсткими торговыми санкциями США. Ряд организаций потерял возможность заказывать чипы у контрактных поставщиков вроде TSMC, что стало очень сильным негативным ф...

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

«Лучший народный автомобиль». Цены на «Москвич» рухнули более чем на 30% После того как все без исключения европейские, американские, японские и южнокорейские автопроизводители ушли с российского рынка, жители России имеют возможность официально купить только машины от российских и китайских брендов. В связи с этим, желая закрыть Читать полную в...

В России остановят производство мотоциклов «Урал». Но дело вовсе не в санкицях или дефиците компонентов Как пишет ресурс 66.ru, в России остановится производство мотоциклов «Урал»: Ирбитский мотоциклетный завод закроют на полгода в связи с модернизацией. А вообще предприятию, которое сейчас не справляется с заказами, требуется большая реконструкция, и она...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Intel представила новую мобильную архитектуру Lunar Lake, выход которой запланирован на 3 квартал Компания задействовала 3-нм техпроцесс TSMC для одной плитки нового процессора, что знаменует собой монументальный сдвиг в стратегии производства процессоров.

Samsung — первая компания, получившая заказ на производство 2-нм чипов Samsung достигла важного соглашения с компанией Preferred Networks (PFN). Соглашение между двумя компаниями представляет собой нечто важное.

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Яндекс Еда и Деливери поделилась статистикой по аудитории и онлайн-заказам Данные с конференции «Тема Еды»

Hyundai строит первый южнокорейский автомобильный завод на Ближнем Востоке Южнокорейская компания Hyundai Motor Group построит автомобильный завод в Саудовской Аравии совместно с Государственным инвестиционным фондом (PIF), суверенным фондом благосостояния Саудовской Аравии, о чем заявил президент Южной Кореи Юн Сок Ёль. Юн выступал в Эр-Рияде...

ИИ-бум увеличил выручку конкурента Samsung — SK Hynix — на 144% Южнокорейский гигант по производству памяти SK Hynix сообщает о «возрождении», чему способствует рост спроса на микросхемы памяти в приложениях искусственного интеллекта. Компания объявила об увеличении операционной прибыли на 288% в первом квартале, что превзошло ожидания а...

SK Group инвестирует $74,8 млрд в производство чипов для ИИ-ускорителей Южнокорейский конгломерат SK Group объявил о планах вложить 103 трлн вон (около $74,8 млрд) в производство чипов памяти HBM, оптимизированных для использования с ИИ-ускорителями. Компании группы также инвестируют в развитие центров обработки данных для наращивания возможност...

Samsung и тайваньская TSMC внезапно отложили запуск производства чипов в США Южнокорейская Samsung и тайваньская TSMC отложили запуск производства чипов в США на своих заводах. Это стало неожиданностью как для технологического сообщества, так и для Белого дома.

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Suzuki закроет в Таиланде завод по производству экомобилей из-за низкого спроса Японская автомобильная компания Suzuki заявила о закрытии завода в Таиланде, который производил экомобили бренда. Предприятие Suzuki Motor Thailand (SMT) будет закрыто из-за отсутствия спроса.

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Li Auto выпустила уже 10 тыс. кроссоверов Li Auto L6 Li Auto наращивает объемы производства новейшего кроссовера Li Auto L6. На рынок машина вышла лишь 18 апреля, поначалу отгрузки исчислялись сотнями, но уже сейчас компания отчиталась о выпуске 10 тыс. машин. В следующем месяце объем выпуска доберется до отметки в 20 тыс...

NIS: Северная Корея взломала южнокорейских производителей оборудования для производства микросхем Северокорейские хакеры, предположительно, совершили взлом систем южнокорейских производителей оборудования для производства микросхем.

Северокорейксие хакеры взломали производителей чипов из Южной Кореи Хакеры из Северной Кореи взломали как минимум два южнокорейских бренда оборудования для производства чипов.

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Ford прекращает производство Focus с ДВС Закроют завод в Германии и сократят 4500 рабочих мест.

Такой логотип появится на новых Lada от АвтоВАЗа. Появилось первое изображение бренда X Появилось изображение торговой марки нового бренда X, который недавно зарегистрировал российский автомобильный завод АвтоВАЗ. Напомним, по данным от предприятия «Автозавод Санкт-Петербург» (бывший завод Nissan), в открытой базе Федерального института промышл...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Xiaomi получила 50 тысяч заказов на свой электромобиль за 27 минут после релиза Китайская компания Xiaomi, которая кроме электроники занялась ещё и производством электромобилей, сразу после старта продаж 28 марта получила 50 тысяч предзаказов.

АвтоВААЗ перезапустил производство, которое простаивало более восьми лет. Первые машины «ВИС-Авто», собранные в ОПП, уже доставлены дилерам Состоялся перенос автосборочных мощностей компании «ВИС-Авто» на площадку бывшего опытно-промышленного производства АвтоВАЗа, которое простаивало более восьми лет, о чем пишет «Автопоток». АвтоВАЗ перезапустил бывшее Опытно-промышленное производс...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Предприятие Ростеха освоило выпуск комплектующих для аддитивного производства Обнинское научно-производственное предприятие «Технология» имени А. Г. Ромашина выпускает комплектующие из технической керамики для оборудования, применяемого в производстве металлических порошков для промышленных 3D-принтеров. Мощности предприятия позволят закрыть дефицит, ...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Опасен ли разогрев и приготовление еды в микроволновке. Обзор мнений. Мнение автора Популярный бытовой прибор используется повсеместно и ему посвящено только на Хабре много статьей как по истории, так и по технике. Но тема вредности еды из микроволновки интересует многих и мне кажется раскрыта не полностью. изучить разные мнения по вредности СВЧ

Почему Samsung и SK Hynix отказались "воевать" за чипы Южнокорейские чипмейкеры получили от Вашингтона бессрочную отсрочку на поставки американских инструментов для производства кристаллов в Китай

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Meizu сообщила о более 250 000 заказов на смартфон Meizu 21 Компания Meizu официально объявила, что заказы на грядущий флагман Meizu 21 уже превысили отметку в 250 000 единиц.

Южнокорейская развлекательная фирма NHN создаст блокчейн-игры на Sui NHN Corporation, южнокорейский развлекательный конгломерат с совокупными активами более 2,4 млрд долларов США, объявила в пятницу о партнерстве с американской Mysten Labs

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Тюменская компания «Платинус» занимается 3D-печатью микроцементом Специалисты предприятия отрабатывают аддитивное производство различных изделий, в том числе малых архитектурных форм по заказам дизайнерских агентств, отельеров и застройщиков.

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Samsung готовит производство чипов на 1 нм техпроцессе Если верить информации западных инсайдеров, компания Samsung Foundry планирует представить свой передовой технологический процесс в 1 нанометр в следующем месяце с запуском массового производства к 2026 году. Соответственно, пока Intel и TSMC занимались своими собственными т...

Логотип, похожий на Hyundai. АвтоВАЗ решил зарегистрировать новый бренд Х Площадка АвтоВАЗа в Санкт-Петербурге решило зарегистрировать собственный товарный знак, который будет уникален и не похож на Chery, о чем сообщает «Агентство Бизнес Новостей». ООО «Автозавод Санкт-Петербург» подал заявки в Роспатент на регистраци...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

В Японии прекратили продавать Toyota Land Cruiser 300 и Alphard Toyota не в состоянии удовлетворить спрос на домашнем рынке на гибридный хетчбэк Aqua (он же Prius C), внедорожники Land Cruiser и минивэн Alphard: не хватает мощностей для производства такого количества авто, которое бы покрыло все оформленные ранее заказы. По данным р...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Роботакси Waymo стали выполнять 50000 заказов в неделю Waymo, компания по производству самоуправляемых автомобилей, принадлежащая Alphabet, отмечает знаменательную дату: еженедельно в Финиксе, Сан-Франциско и Лос-Анджелесе совершается более 50 000 платных поездок на их роботакси. Это означает в среднем 300 заказов в час.

Discord закрыл серверы эмуляторов Nintendo Switch Битва между Nintendo и разработчиками эмуляторов Switch продолжается, куда присоединился еще и Discord. Платформа закрыла серверы эмуляторов Suyu и Sudachi, а также аккаунты их ведущих разработчиков.

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Южнокорейский производитель сокращает производство лития на фоне ослабления спроса на электромобили Ecopro Innovation может сократить выпуск на одну пятую, в зависимости от ситуации на рынке электромобилей, заявил генеральный директор Энтони Ким.

Производство OLED-дисплеев для iPad Pro может начаться в феврале Компании LG и Samsung планируют начать массовое производство OLED-панелей для iPad Pro следующего поколения примерно в феврале 2024 года. Об этом сообщили авторы южнокорейской газеты Chosun Ilbo со ссылкой на собственные источники.Читать дальше... ProstoMAC.com.| Постоянная...

MediaTek заключила контракт с Apple на поставки модулей Wi-Fi 7 Согласно информации издания Economic News Daily, компания MediaTek выиграла заказы на чипы Wi-Fi 7 у ведущего американского производителя, что угрожает монополии Broadcom в области модулей связи для планшетов. Учитывая, что Apple в настоящее время является наиболее доминирую...

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Росатом и СПбПУ осваивают производство филаментов с армированием непрерывным углеволокном Технология производства композиционных филаментов для экструзионной 3D-печати разработана учеными передовой инженерной школы «Цифровой инжиниринг» Санкт-Петербургского политехнического университета Петра Великого по заказу композитного дивизиона Росатома.

Huawei стал крупнейшим в мире производителем раскладных смартфонов Китайская Huawei Technologies обогнала южнокорейскую Samsung Electronics по производству раскладных смартфонов, став крупнейшим в мире производителем таких устройств. По данным гонконгской Counterpoint Research, на которую ссылается Nikkei, в первом квартале на Huawei пришло...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Путин поручил закрыть вредное производство ЧЭМК и перенести его за пределы Челябинска Путин потребовал вернуть акции заводов, входящих в группу ЧЭМК, в государственную собственность.

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Envision Energy стала лидером по зарубежным заказам на ветровые турбины среди китайских OEM Компания Envision Energy, мировой лидер в области возобновляемых источников энергии, в 2023 году обеспечила себе ведущие позиции по количеству зарубежных заказов на ветровые турбины среди китайских OEM-производителей. Это достижение, как сообщает ведущая консалтинговая компа...

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

В Подмосковье открылось производство материалов для матрасов и мягкой мебели, способное закрыть потребности рынка РФ на 45 % На запуск фабрики было потрачено около 800 млн руб.

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

Французская Novadem получила «рекордный» заказ на микродроны NX70 от министерства вооруженных сил Французская компания Novadem, поставляющая на регулярной основе в армию Франции микродроны наблюдения NX70, сообщила о получении от Министерства обороны нового весьма значительного заказа на NX70.

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

Геймер получил платину в Marvel's Spider-Man 2 всего за 30 часов До релиза Marvel's Spider-Man 2 осталось больше двух недель, многие блогеры и журналисты только начали прохождение своих копий, а игрок под ником ChrisGaming95 уже закрыл игру на платину. Энтузиаст опубликовал скриншот в «западных соцсетях», но довольно быстро удалил его из-...

Hensoldt поставит систему обзора для нового немецкого орудия Немецкая компания Hensoldt, специализирующаяся на разработке и производстве сенсорных систем для военных и гражданских целей, получила заказ на поставку 54 единиц своей электрооптической системы кругового обзора SETAS для колесной гаубицы RCH 155, разработанной совместно нем...

Apple отказывается от планов по производству электромобилей Apple закрыла проект по созданию электромобиля

AMD возможно планирует перенести производство GPU Radeon на более дешевый техпроцесс Samsung По словам @Tech_Reve, Team Red диверсифицирует свою цепочку поставок, перенеся производство своих недорогих APU Ryzen (и Athlon) на более дешевый техпроцесс Samsung.

TSMC обеспечена заказами на 3-нм продукцию до 2026 года Объёмы производства растут, но и клиентов становится больше.

Российский завод Hyundai планируют продать к 28 декабря Как мы уже писали, южнокорейская компания Hyundai Motor решила продать свой завод в Санкт-Петербурге российской компании «Арт-Финанс», связанной с дилером «Авилон». Именно «Арт-Финанс» ранее приобрела активы Volkswagen в России. По за...

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Google закрыла критическую уязвимость Android с возможностью дистанционного взлома аппаратов Обновление за декабрь закрыло 85 уязвимостей Android

Google закроет ещё один популярный сервис уже в 2024 году Google объявила о намерении закрыть ещё одно своё приложение — Google Подкасты. Случится это уже в 2024 году.

Google закрыла критическую уязвимость Android с возможностью дистанционного взлома аппаратов Обновление за декабрь закрыло 85 уязвимостей Android

Samsung получила заказ на 2 нм пластины от японского стартапа по производству чипов ИИ Samsung получила заказ на 2 нм пластины от японского стартапа по производству чипов искусственного интеллекта

Microsoft закроет магазин игр для консоли Xbox 360 Microsoft готовится закрыть магазин Xbox 360 Store и Xbox 360 Marketplace. Владельцы Xbox 360 больше не смогут приобретать новые игры для Xbox 360, DLC и другой контент в Xbox 360 Store. Кроме того, Microsoft удалит приложение «Кино и ТВ» из Xbox 360. Таким образом, получить...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Xiaomi продаст 100 тысяч SU7 за текущий год Недавно компания Xiaomi официально вышла на рынок автомобилей со своим электрическим транспортным средством SU7, который мгновенно привлёк внимание аудитории благодаря дизайну, характеристикам и низкой стоимости. А теперь производитель заявил, что планирует только за первый ...

Чипы для всех. Свободный стандарт на производство микросхем LibrePCB: бесплатный инструмент для разработки печатных плат под Windows, Linux и MacOS Идея свободного железа особенно актуальна сейчас, когда крупные корпорации пытаются ограничить доступ к своей интеллектуальной собственности, а национальные правительства ставят друг дру...

Apple снижает заказы процессоров из-за низкого спроса Согласно последнему отчёту известного аналитика мобильного рынка Минг-Чи Куо, Apple снижает заказы на производство чипов по технологическому процессу в 3 нанометра примерно на треть. Более того, компания Qualcomm также уменьшает объёмы производства и в конечном итоге объёмы ...

Total Z: секреты успешного развития производства 3D принтеров в России! Интервью 3Dtool Всем привет, Друзья! С Вами компания 3DTool!В этом видео мы находимся в гостях у производителя промышленных 3D принтеров из России - компании TOTAL Z. Мало кто знает, что огромное производство началось с проверки гипотезы, в небольшой гаражной мастерской. В интервью, Генерал...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Россия закроет потребность в чипах для банковских карт к 2025 году Минпромторг обещает закрыть потребность России в чипах для банковских карт к 2025 году

AMD готовит GPU с 288 ГБ памяти. Ускоритель Instinct MI325X выйдет уже в этом году Ускорители для ИИ AMD Instinct 300 предлагают рекордный для рынка объём памяти до 192 ГБ, а теперь компания рассказала, что уже в этом году выпустить модель с 288 ГБ памяти!  Такой моделью будет Instinct MI325X. Она получит 288 ГБ памяти HBM3e. Пропускная способн...

Новый хит, но пока только в Китае. На дешевый люксовый кроссовер Li Auto L6 оформлено 20 тыс. заказов за 12 дней Продажи новейшего кроссовера Li Auto L6 в Китае стартовали 12 дней назад, и за это время на машину оформлено уже 20 тыс. заказов. Это официальная статистика. Также компания сообщила, что в течение 6 дней покупателям доставлено более 2 тыс. кроссоверов. В течение мая пр...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Apple заказала OLED-дисплеи для iPad Pro у Samsung и LG Display Стало известно о планах Apple и двух южнокорейских поставщиков OLED-панелей для iPad Pro, Samsung Display и LG Display, начать производство OLED-дисплеев раньше озвученных сроков.

OpenYard открыла производство российских серверов – 60 000 единиц в год с процессорами Ampere Массовое производство уже развёрнуто, а продукция доступна для заказа

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Про рейтинги популярности языков программирования — на лето 2024 года Вечнозеленая тема — рейтинги популярности языков программирования. Говорят, что они в основном интересуют слушателей ИТ-курсов, но в майском рейтинге 2024 есть и небольшая сенсация. В ТОП-10 индекса TIOBE вернулся Fortran, — язык, ведущий историю с 1957 года (кстати, последн...

Sintavia по заказу Пентагона будет изготавливать на 3D-принтере компоненты гиперзвуковых двигателей Ведущий игрок в области аддитивного производства для аэрокосмической промышленности США компания Sintavia получила от Министерства обороны США контракт на изготовление компонентов гиперзвуковой двигательной установки методом 3D-печати.

Kia просит дилеров продавать новейший топовый кроссовер без наценок, но не факт, что у них это получится Первый электрический семиместный автомобиль Kia начнет поступать в салоны США до конца года. Клиенты уже могут оформить предварительный заказ на базовый EV9 Light по цене 56 395 долларов. Как стало известно, южнокорейский производитель хочет защитить своих клиентов от н...

Китайская SMIC увеличила закуп тайваньского сырья в ожидании новых санкций Китайская SMIC (Semiconductor Manufacturing International Corporation) разместила у своих тайваньских партнеров значительные заказы на сырье, эквивалентные примерно двухлетнему запасу. Создание запасов материалов рассматривается компанией как упреждающая мера, направленная н...

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Micron сможет претендовать на $5 млрд субсидий для строительства предприятий в США Вслед за южнокорейской компанией Samsung Electronics.

Intel готова углубить сотрудничество с южнокорейскими компаниями И не только в сфере выпуска чипов.

В России начали серийно выпускать встраиваемые в стену зарядные станции В пресс-службе торгово-производственного холдинга (ТПХ) «Русклимат» сообщили, что компания «Национальные зарядные системы» запустила массовое производство новой зарядной станции, встроенной в стену, предназначенной для электромобилей. Устройство, разработанное собственными с...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Китайцам удалось разработать и выпустить самую емкую в мире флеш-память. В чем прогресс? О компании YMTC мы несколько раз уже писали на Хабре. Например, в этой статье мы показали, как санкции США ударили по производству китайских чипов памяти. Тем не менее, бизнесу в КНР, связанному с производством электроники, удается не только выживать, но и реализовывать вес...

Samsung выпустила 34-дюймовый игровой монитор Odyssey OLED G8 В ассортименте южнокорейской компании Samsung появился новый игровой монитор Odyssey OLED G8.

Власти США заподозрили Applied Materials в обходе санкций при поставке оборудования китайской компании SMIC Через южнокорейское представительство.

Компания SK Hynix отправила NVIDIA образцы прототипов "12-слойной DRAM HBM3E Южнокорейские технологические инсайдеры считают, что компания SK Hynix отправила NVIDIA образцы прототипов "12-слойной DRAM HBM3E (5-е поколение HBM)"

Samsung раскрыла планы по разработке 3D памяти и стекированной DRAM Южнокорейская компания заявила, что 3D DRAM может появиться через несколько лет.

Ford демонстрирует лимитированную серию Mustang Mach-E с бронзовым окрасом Производство стартует в конце 2024 года, но уже сейчас можно делать предварительные заказы

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Маркетинговые войны XXI века: один кейс из жизни глобального бизнеса В начале декабря 2023 года Баженовское месторождение асбеста было признано «Достоянием Среднего Урала» — за него отдали голоса 9 150 человек. Оно заняло почетное второе место, уступив около 3 тысяч голосов одному из старейших производств Свердловской области, старому Демидов...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

Объемы заказов главного мирового производителя оборудования ASML для чипов рухнули почти в 2 раза Вы, вероятно, никогда не слышали о нидерландской компании ASML, но без нее современная технологическая индустрия оказалась бы на грани краха. ASML - это компания, специализирующаяся на производстве литографических машин, или степперов. Эти устройства неотъемлемая часть проце...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Китай начинает и выигрывает? Huawei и SMIC запатентовали собственный 3-нм техпроцесс У КНР, в целом, всё неплохо с индустрией разработки и производства электронных чипов. Если бы не одно «но»: большинство компаний из Поднебесной, которые этим занимаются, находятся под санкциями. Так что они не могут официально получать системы для производства чипов. И чем д...

Производство АвтоВАЗа, которое простаивало более восьми лет, теперь работает на полную. Фото и видео демонстрируют продукцию «ВИС-Авто» в корпусе бывшего ОПП Дочка АвтоВАЗа компания «ВИС-Авто» трудится не покладая рук, о чем пишет инсайдерский паблик Avtograd News в соцсети «ВКонтакте». Компания «ВИС-Авто» окончательно обосновалась в корпусе бывшего ОПП ВАЗа. Корпус бывшего ОПП скоро обрет...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Apple отменила Watch Ultra 3 с дисплеем microLED Слухи о том, что умные часы Apple Watch Ultra получат улучшенный дисплей microLED, ходят уже более года, и инсайдеры предполагали, что производитель представит улучшенную модель уже в текущем году, после чего появилась информация о том, что различного рода проблемы с произво...

Генеративные рекомендательные системы: как мы научились предсказывать заказы пользователей Привет, Хабр

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Apple сократила заказы на iPad Pro с OLED-дисплеем на 20-30% Trendforce со ссылкой на отчет Aju Korea Daily сообщает, что Apple сократила объем заказов на компоненты для новых iPad Pro с OLED-дисплеями. Это позволяет предположить, что компания ожидает более низкий спрос на планшеты, чем было запланировано ранее.Читать дальше... Prost...

XREAL выделяет 60 млн долларов на расширение производства устройств AR Глобальный релиз очков Air 2 Ultra запланирован на март, их стартовая цена составит 699 долларов, а предварительные заказы уже доступны.

Samsung подшутила над Apple в связи со сбоями в работе будильников iPhone Южнокорейская компания разместила шутливое сообщение в социальных сетях.

Производство «российских Rolls-Royce» полностью загружено. Все машины Aurus «расписаны пофамильно» Директора проекта «Единая модульная платформа» ФГУП «НАМИ» Александр Лебедев рассказал о кратном увеличении госзаказа на люксовые российские автомобили Aurus: если раньше госорганы заказывали по 1-2 автомобиля, то сейчас уже по 10-20. Соответстве...

Всё никак не договорятся: завод Hyundai в Санкт-Петербурге продлил режим простоя Южнокорейская компания Hyundai продлила период простоя своего завода в Санкт-Петербурге до 30 ноября 2023 года. Эту информацию предоставили в Центре занятости населения Петербурга в ответ на запрос ТАСС. «ООО "Хендэ мотор мануфактуринг рус" сообщило о ре...

Samsung купит французскую ИИ-компанию для улучшения медицинской диагностики Южнокорейский технологический гигант Samsung объявил о покупке французской компании искусственного интеллекта Sonio. Цель покупки — усовершенствовать системы медицинской диагностики, в частности, ультразвуковые исследования.

Snapdragon 8 Gen 5 будет дешевле Snapdragon 8 Gen 4? Qualcomm хочет вернуться к Samsung, как второму производителю SoC Однокристальная система Snapdragon 8 Gen 4 еще не была представлена, а в Сети появились новости о Snapdragon 8 Gen 5. Возможно, она будет дешевле Gen 4.  Суть в том, что высокая цена грядущей платформы обусловлена не только лишь какими-то желаниями Qualcomm. Важна...

Не только Toyota: скандал с краш-тестами Daihatsu коснулся автомобилей Mazda и Subaru По сообщению японского издания Yomiuri, компании Mazda и Subaru приостановили заказы на производство своих автомобилей на заводах Daihatsu. Как мы уже писали, было обнаружено, что автопроизводитель Daihatsu сфальсифицировал результаты краш-тестов для получения государст...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Вопрос о выделении субсидий Samsung в США будет решён на следующей неделе Южнокорейская компания может получить более $6 млрд.

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

Qualcomm, это еще маркетинг или уже обман? Представлена SoC Snapdragon 6s Gen 3, которая во всем уступает Snapdragon 6 Gen 1 из 2022 года Компания Qualcomm без лишнего шума анонсировала новую однокристальную систему под названием Snapdragon 6s Gen 3. И она представляет из себя вовсе не то, о чем можно было бы подумать из названия.  Во-первых, стоит напомнить, что в линейке Qualcomm есть Snapdragon 6...

1-нм техпроцесс к 2027 году: Intel представила амбициозную дорожную карту Недавно Intel провела мероприятие Foundry Direct Connect, на котором были представлены новые интересные разработки в дорожной карте производства чипов и стратегии производства.

МИД Кореи заподозрил южнокорейскую компанию в незаконной торговле с Россией Министерство иностранных дел Республики Корея начало расследование в отношении крупной торговой компании Daesung International Trading, подозреваемой в нарушении санкций против России.

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Suzuki решила закрыть один из своих заводов Suzuki Motor приняла решение избавиться от одного из своих заводов, о чем пишет «Автопоток». В пресс-службе компании заявили, что Suzuki Motor больше не будет выпускать машины в Таиланде, где производство велось последние 12 лет. Завод был построен с 2007 до...

Стартовало производство OLED-дисплеев для iPad Pro, поставки устройств начнутся в апреле Согласно отчету ресурса The Elec, на этой неделе будет запущено производство OLED-дисплеев для iPad Pro следующего поколения, а поставки устройств начнутся в апреле. Как утверждает южнокорейское издание, LG Display уже начала производство экранных компонентов для новых 13-дю...

GS Group: российским брендам больше нет смысла размещать заказы на азиатских ODM-площадках Серийное производство вычислительной техники по модели ODM стало новым направлением деятельности холдинга.

Что касается возможной поставки деталей для новых Solaris: Hyundai «не может комментировать» происходящее на бывшем заводе в России Южнокорейская компания Hyundai Motor дала расплывчатый комментарий на вопрос о том, будет ли она поставлять комплектующие новому владельцу своего бывшего завода в Санкт-Петербурге. «Наша компания не может комментировать деятельность, которая происходит в России, п...

Intel проводит расследование в связи с нестабильной работой процессоров Raptor Lake в играх Южнокорейские СМИ пишут о том, что компания Intel проводит расследование в связи с нестабильностью процессоров на базе архитектуры Raptor Lake.

Южная Корея готова поддержать производителей для выпуска памяти типа HBM Потому что в прошлом году южнокорейские компании доминировали на рынке памяти HBM.

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Зеленский заявил о дефиците 155-мм снарядов ВСУ из-за конфликта Палестины и Израиля Украинский лидер также отметил, что поставляемые сейчас западными странами боеприпасы никак не могут закрыть потребности армии Украины. Вместе с тем Зеленский подчеркнул, что в последнее время Соединенные Штаты нарастили темпы производства артиллерийских снарядов.

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Ускорители NVIDIA Rubin будут выпускаться по 4-нм техпроцессу компанией TSMC Старый конь и в 2026 году борозды не испортит.

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

В Британии одобрили сделку Microsoft и Activision Blizzard Компании могут закрыть сделку уже сегодня.

TSMC потратит 4 млрд долларов на EUV-машины для 2-нм техпроцесса TSMC готовится к массовому производству по передовому 2-нм технологическому процессу, которое должно начаться в 2025 году. Важнейшим элементом подготовки является закупка оборудования для EUV-литографии. В течение следующих двух лет TSMC получит более 60 EUV-машин на сумму б...

Samsung заключила соглашение с японским стартапом Preferred Networks на производство 2-нм чипов Samsung выигрывает гонку за заказ на 2-нанометровые чипы у японского стартапа, опережая TSMC в борьбе за новые заказы.

Как отменить ошибочный заказ на Wildberries? Появилась долгожданная кнопка! Wildberries прислушался к пожеланиям пользователей и добавил кнопку для отмены заказа до его отправки.

У Aurus могут возникнуть проблемы с производством: многие детали поставлялись из Южной Кореи, которая расширила перечень запрещенных для поставок товаров Сегодня правительство Южной Кореи составило новый список товаров, которые попадают под ограничения поставок в Россию. Обновленный список из 1402 позиций. Как пишет No Limits, это может вызвать проблемы с производством Aurus. По данным Reuters, завод по произво...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

ByteDance и Broadcom разрабатывают ИИ-чип с использованием 5-нм техпроцесса TSMC ByteDance, материнская компания TikTok, сотрудничает с Broadcom для разработки чипа искусственного интеллекта с использованием 5-нм техпроцесса TSMC.

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

Орловская компания Rocket Group создает 3D-печатные жилые модули Предприятие занимается выполнением заказов на модульные дома для разных уголков страны, в основном мест отдыха в Анапе, на Эльбрусе и в других регионах. Компания также работает над выполнением заказа МЧС России на изготовление модулей для мобильного поселка на пять тысяч чел...

США выделят миллиарды на производство передовых полупроводников, Intel, Samsung и TSMC Ожидается, что администрация президента Джо Байдена в ближайшие недели выделит миллиарды долларов в виде субсидий ведущим полупроводниковым компаниям, включая Intel, чтобы помочь построить новые заводы в США. Это должно дать толчок производству передовых полупроводников...

Samsung назвала сроки начала массового производства новых батарей Чхве Юн Хо, президент южнокорейского аккумуляторного гиганта Samsung SDI, объявил на InterBattery 2024, крупнейшей выставке аккумуляторов в Южной Корее, что 46-миллиметровая батарея, разработанная компанией, будет запущена в массовое производство в начале 2025 года. Он ...

Microsoft закроет доступ к Office 365, Teams и One Drive для российских компаний Простых пользователей это не должно коснуться.

Оказалось, что Марк Цукерберг сам пишет письма с предложением работы в сфере ИИ Глава Meta*, материнской компании соцсети Facebook* Марк Цукерберг сам пишет письма интересующим его потенциальным сотрудникам в сфере ИИ.

Один из крупных производителей компонентов для HDD закрывает завод и увольняет около 600 человек Крупный поставщик компонентов для HDD уволил несколько сотен сотрудников и собирается полностью закрыть бизнес.  создано DALL-E Речь о компании Resonac, которая является (или теперь уже являлась) крупным производителем тонких пленок, используемых на поверхности пл...

Samsung представила Galaxy Ring - умное кольцо с биометрическими датчиками для мониторинга здоровья Южнокорейская компания заявила, что использование Galaxy Ring и Galaxy Watch позволит более точно отслеживать состояние здоровья пользователя.

Светодиодный экран Samsung виден из космоса Южнокорейская компания разработала светодиодный дисплей площадью 2617 квадратных метров, включающий 25 000 модулей и более чем 22 миллиона пикселей

"Front Mission Evolved" - шутер 2010 года на тему мехов Серия игр Armored Core в своем роде была не единственной. Тема мехов в некоторых странах очень популярна. И это, конечно же, не осталось без внимания разработчиков...

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Как снизить риски при получении интернет-заказов Рассказываю о простых предосторожностях, которых следует придерживаться, чтобы минимизировать вероятность возникновения проблем при получении заказов с Ozon, Wildberries и с других маркетплейсов, а также из интернет-магазинов.

ASML сообщила о падении прибыли и заказов Голландский технологический гигант ASML, поставляющий оборудование для производства полупроводников, сообщил о снижении чистой прибыли и заказов вследствие торгового спора между Китаем и Западом.

Обновление от Microsoft защитило Skype, Teams и Edge Компания закрыла уязвимости в библиотеках с открытым исходным кодом

Роботы-курьеры Яндекса начали доставлять заказы из «Вкусно – и точка» Пользователям Яндекс Еды в Москве заказы из предприятий сети «Вкусно - и точка» начали привозить роботы-доставщики Яндекса. Услуга уже доступна для жителей района Хамовники. При наличии свободного робота приложение предложит его при оформлении заказа - такая доставка будет б...

Роботы-курьеры Яндекса начали доставлять заказы из «Вкусно – и точка» Пользователям Яндекс Еды в Москве заказы из предприятий сети «Вкусно - и точка» начали привозить роботы-доставщики Яндекса. Услуга уже доступна для жителей района Хамовники. При наличии свободного робота приложение предложит его при оформлении заказа - такая доставка будет б...

Роботы-курьеры Яндекса начали доставлять заказы из «Вкусно – и точка» Пользователям Яндекс Еды в Москве заказы из предприятий сети «Вкусно - и точка» начали привозить роботы-доставщики Яндекса. Услуга уже доступна для жителей района Хамовники. При наличии свободного робота приложение предложит его при оформлении заказа - такая доставка будет б...

«Ростелеком» вложит 1,51 млрд руб в развитие собственной CRM-системы В 2022 году «Ростелеком» увеличил до 90% инвестиции в отечественное программное обеспечение. Компанию интересуют управление данными, искусственный интеллект, цифровая медицина, и т. д.

Отладка в SQL Developer Привет! Меня зовут Алексей Маряхин, я разработчик на Oracle. В этой статье продолжим знакомиться с темой отладки PL/SQL-кода.  В предыдущей статье мы изучили возможности отладки в PL/SQL Developer. В этой предлагаю рассмотреть ещё один инструмент — SQL Developer (версия...

Сканирование скульптур. Привет. Недавно у нас заказали 3д сканирование двух скульптур.  Компания "Башкирские самоцветы" занимается изготовлением изделий из камня и мрамора. У ребят есть заказ на изготовление рыб и голов барана. Для производства точных копий нужна 3D модель. Далее по этим моделям бу...

Hyundai представила прототип своего аэротакси с вертикальным взлётом и посадкой Южнокорейская компания Hyundai на выставке CES 2024 представила прототип аэротакси с вертикальным взлётом и посадкой. Эта электрическая машина — гибрид вертолёта и самолёта с неподвижным крылом.

Крушение корейского беспилотного аэротакси попало на видео Telegram-канал bes pilot опубликовал шокирующее видео, на котором запечатлен момент падения в воду беспилотного аэротакси южнокорейской компании V_Space.

На выставке военной техники Eurosatory Hyundai представила систему противодействия беспилотникам Южнокорейские компании Hyundai Rotem и Hyundai WIA представляют на выставке Eurosatory-2024 новую систему противодействия беспилотным летательным аппаратам (БПЛА), предназначенную для борьбы с дронами.

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Samsung Galaxy A05s появился в Google Play Console В ассортименте южнокорейской технологической компании вскоре появятся бюджетные смартфоны Samsung Galaxy A05 и A05s.

Nissan закрыл новейший завод в Китае из-за снижения продаж Nissan закрыла завод в Китае на фоне снижения продаж на местном рынке. Он был открыт совместно с китайским производителем Dongfeng. В Китае у Nissan осталось еще семь предприятием, закрытый завод был самым технологичным, он был запущен менее четырех лет назад. В Nissan...

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Phantom Fury - краткий обзор противоречивой игры Ох и популярная ныне тема ретро шутеров среди инди-разработчиков игр. Это наглое паразитирование на теме "ностальжи" и обход современного "графона"...

В Samsung Galaxy S25 не будет чипов Exynos: все модели получат Qualcomm Snapdragon 8 Gen 4 После запуска серии Galaxy S24 компания Samsung в настоящее время концентрируется на скором анонсе своих будущих складных устройств. Ожидается, что Galaxy Z Fold 6 и Z Flip 6 будут представлены на презентации 10 июля в Париже. При этом Samsung уже начала работу над смар...

Российский производитель телевизоров TCL, BBK, BQ, Erisson переносит мощности из Калининграда Российский производитель телевизоров STI Group, следуя примеру компании «Телебалт», принял решение о переносе производства из Калининградской области в Подмосковье. Об этом пишет «Коммерсантъ», который цитирует генерального директора компании Кир...

Чтобы больше не закрывать заводы и улучшить своё финансовое положение, Nissan будет выпускать китайские электромобили Nissan начнет производство электромобилей в Ухане для своего китайского партнера по совместному предприятию Dongfeng Motor до конца этого года, о чем сообщает Nikkei. Завод в Ухане в настоящее время производит две модели кроссоверов: Ariya и X-Trail. Сообщается, что Nis...

«FAW закрыл тему сотрудничества с ВАЗом». Источник на АвтоВАЗе рассказал, что проект превращения FAW Bestune T77 в Lada X-Cross 5 закрыт Вчера глава АвтоВАЗа Максим Соколов сообщил, что всего за прошлый год на бывшем заводе Nissan в Санкт-Петербурге было собрано 170 Lada X-Cross 5. Топ-менеджер больше никак не комментировал проект, но новые подробности опубликовал Telegram-канал «Автопоток»: ...

Кризис бьёт по всем: прибыль Hyundai упала из-за низкого спроса на электрокары Южнокорейская аналитическая компания SNE Research прокомментировала отчёт автомобилестроительной корпорации Hyundai о финансовых результатах в годовом исчислении.

Южнокорейский провайдер интернет-услуг "KT" распространял вредоносное ПО среди клиентов Предположительно, компания внедрила вредоносное программное обеспечение для прослушивания абонентов и вмешательства в передачу их личных файлов

Samsung Galaxy Fit 3 появится в 2024 году и будет стоить в два раза дороже Galaxy Fit 2 Согласно представителям южнокорейской компании, популярность Galaxy Fit 2 стало главным фактором, побудившим компанию Samsung возобновить свою линейку востребованных фитнес-трекеров

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Samsung представила свою новую дорожную карту, отражающую планы по выпуску высокотехнологичных чипов Компания планирует выпустить чипы с техпроцессами 2-нм и 1,4-нм в 2027 году

ICQ окончательно похоронили Интернет-компания VK сообщила, что через месяц закроет мессенджер ICQ. Сервис перестанет работать 26 июня.

Ведомости: «Ситилинк» сократил численность сотрудников на фоне снижения прибыли Компания еще в прошлом году закрыла около 100 торговых точек.

Испания закупит более 100 тысяч минометных снарядов стоимостью около €58 млн В рамках программы модернизации вооруженных сил, Испания разместила заказ на производство более 100 тысяч минометных мин для Сухопутных войск, общей стоимостью около 58 миллионов евро.

Темы для форм Gogle Docs Google добавил возможность выбора темы оформления для онлайн-форм Google Docs. Всего доступно около 70 тем различной тематики. Выбрать тему можно щелкнув по кнопке Themes в режиме редактирования формы.

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Что известно о Samsung Galaxy S24: дата выхода, характеристики и цена Долгожданная многими серия Samsung Galaxy S24 готовится к полноценному анонсу в январе 2024 году. И, если верить слухам и инсайдерам, южнокорейской компании есть, чем удивить простой народ.

Минпромторг может запретить госкомпаниям закупать оборудование у иностранных производителей Консорциум «Вычислительная техника» («Аквариус», Yadro, Depo и др.) обсуждает с Минпромторгом РФ возможность изменения правил постановления правительства №878 «О мерах стимулирования производства радиоэлектронной продукции на территории РФ». Цель консорциума заключается в то...

Суд оправдал главу Samsung в деле о слиянии 2015 года Южнокорейский суд оправдал Джея Ю. Ли, главу Samsung Electronics, от обвинений в манипуляции с акциями и мошенничестве в бухгалтерском учете, связанных со слиянием компаний в 2015 году.

Заказ товаров из-за рубежа на Wildberries станет невозможным без «Госуслуг» Wildberries, один из крупнейших онлайн-ритейлеров России, вводит новое требование: для заказа товаров из-за рубежа (из Китая и Узбекистана), покупатели должны иметь профиль на портале «Госуслуги», пишет CNews.

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

В российских свечах Meteor (бывшие Bosch) появятся отечественные платина, иридий, золото и серебро. Также в России локализуют производство стеклогерметиков «Красцветмет» по заказу Meteor Auto (ей принадлежит бывший завод Bosch в Энгельсе) разработает технологии производства проволоки из сплавов драгоценных металлов (платина, иридий, золото и серебро) для изготовления отечественных свечей зажигания — сейча...

[Перевод] Учим ИИ играть в настолку Magic the Gathering За последние полгода я несколько раз писал о тонкой настройке (fine tuning). Fine tuning — очень соблазнительная технология, обещающая закрыть проблемы в функциях GPT-4, будучи при этом быстрее и дешевле. Однако как бы часто не обсуждали fine tuning, я нашёл на удивление мал...

Audi R8 — всё. Последний автомобиль сошёл с конвейера, замены ему не предвидится После того, как последний TT был выпущен в ноябре 2023 года, еще одна модель Audi уходит на покой. Последний R8 сошел с конвейера на заводе Audi Bollinger Hofe в Хайльбронне, Германия. Последней машиной стало купе Vegas Yellow в исполнении Performance Quattro Edition с ...

Обновления Windows 10 и 11 кошмарят пользователей: на компьютерах отваливаются Wi-Fi и Bluetooth Компания Microsoft начала выпускать для Windows 10 и 11 патчи, которые должны были закрыть уязвимость, связанную с  драйверами.

Нигер закрыл доступ французской компании «Орано» к крупнейшему урановому руднику В котором, к слову, запас более 200 тысяч тонн.

Умное кольцо WHSP Ring решит проблему голосовых помощников Южнокорейская компания VTouch представила необычную новинку под названием WHSP Ring. Это умное кольцо, которое позволяет управлять смартфоном при помощи голосовых команд, не привлекая внимания окружающих

Прибыль Samsung рухнула на 40% Южнокорейский техногигант Samsung отчитался за третий квартал текущего года. У компании наблюдаются проблемы со спросом на продукцию и снижение финансовых показателей по сравнению с аналогичным периодом 2022 года.

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

В России наращивают производство материнских плат на процессорах Intel и AMD предыдущих поколений — на новых делать нет возможности Производители электроники в России наращивают инвестиции и производство материнских плат. Основная часть продукции ориентирована на чипы Intel и AMD предыдущих поколений, о чем сообщает «Коммерсантъ» со ссылкой на представителей отрасли. Компания GS Gro...

Германия остановила производство дальнобойных ракет Taurus, о которых просил Киев Отсутствие новых заказов у производителя лишь в очередной раз демонстрирует нежелание немецких властей отправлять Украине ракеты данного типа.

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

В Европе возникают сомнения в климатической идеологии, которая разрушает промышленность и экономику Китай за четыре дня добыл больше угля, чем Польша за весь год. Индонезия достигла годового уровня производства за 22 дня. Китай добыл более 414 миллионов тонн угля. Для сравнения, польские шахты сумели добыть всего 49 миллионов тонн угля, но климатические активисты вынуждают...

Шойгу призвал увеличить темпы поставок военной техники и боеприпасов Сергей Шойгу, министр обороны России, во время посещения Тульской области подчеркнул необходимость ускоренного увеличения производства военной техники и боеприпасов для выполнения государственного оборонного заказа

Wildberries ввел функцию отмены заказа Помимо преимуществ для покупателей и продавцов, новая функция отмены заказов Wildberries имеет положительные последствия и для самой компании.

Google и Samsung существенно упростили обмен файлами на Android-смартфонах Представленный ещё в 2020-м как альтернатива Appe AirDrop, инструмент Nearby Share сменил название и уже стал доступен на смартфонах южнокорейской компании

По предварительному заказу продано до 180 000 единиц ... Только начались предварительные заказы на Vision Pro, популярность устройства только растет. Было продано около 180 тысяч единиц.

По предварительному заказу продано до 180 000 единиц ... Только начались предварительные заказы на Vision Pro, популярность устройства только растет. Было продано около 180 тысяч единиц.

Власти США хотят закрыть доступ к компонентам систем ИИ для дочерних структур китайских компаний за рубежом Удавить удалёнку.

Google похоронит ещё один свой сервис в следующем году Компания решила закрыть платформу для подкастов и перенести все записи на YouTube.

Intel хвалит свои техпроцессы, но новые CPU Lunar Lake будет полностью производить на мощностях TSMC Компания Intel раскрыла некоторые подробности о малых ядрах процессоров Lunar Lake.  Lunar Lake ожидаются ближе к концу года. Эти процессоры с самого начала создавались с упором на максимальную энергоэффективность, поэтому малым ядрам тут уделено много внимания.&n...

Supermicro добавляет 3 объекта в Кремниевой долине и в мире с учетом роста систем жидкостного охлаждения Компания Supermicro, Inc., поставщик комплексных ИТ-решений для ИИ, облачных хранилищ и 5G/Edge, продолжает расширение в Кремниевой долине, открывая новые кампусы по мере роста спроса на центры обработки данных с жидкостным охлаждением. Новые производственные объекты станут ...

Samsung продемонстрировала на выставке Nvidia GTC новые модули памяти GDDR7 со скоростью 32 Гбит/с На этой неделе южнокорейская компания представила свои последние разработки на конференции Nvidia по технологиям графических процессоров, намекнув на партнерство в рамках серии RTX 50.

Украина под давлением западных "партнеров" закрыла проект "международных спонсоров войны" Правительство Украины решило закрыть реестр «международных спонсоров войны». Список находится на сайте проекта «Війна і санкції», который курирует Национальное агентство по вопросам предотвращения коррупции (НАПК). Причиной решения кабмина стало давление иностранных государс...

Почему мое производство пельменей умирает В 2018 г. я открыл производство пельменей и полуфабрикатов. Шучу, что у меня 3 класса образования церковной школы, так как мое высшее по специальности “социальная коммуникация” не кажется мне фундаментальным.6 лет мы продаем 50 позиций пельменей, голубцов и чебуреков в 200 м...

Два в одном: пристройка для дома и трейлер до отдыха на природе. Представлен Kwork Mio Space Японская компания Kwork заключила партнерское соглашение с Lixil, компанией по производству товаров для дома, чтобы создать стильный трейлер Mio Space для любителей активного отдыха на природе. Трейлер Mio Space получился настолько стильныи, что Kwork предлагает исполь...

VisionFive2 (ядро Linux) В предыдущей статье мы рассмотрели процесс сборки загрузчика. Теперь мы рассмотрим ядро Linux, исходные коды которого поддерживает компания StarFive в репозитории https://github.com/starfive-tech/linux. Кроме того, мы рассмотрим создание скриптов загрузки ядра (boot.scr) для...

Глава Samsung Electronics Ли Джэён признан невиновным в финансовых махинациях Глава Samsung Electronics был признан невиновным в бухгалтерских махинациях и манипулировании акциями в ходе слияния компаний в 2015 году. Это решение может дать Ли больше свободы действий в управлении крупнейшим южнокорейским концерном.

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Intel снимает с производства процессоры Raptor Lake-S Сегодня компания Intel официально сообщила о своих планах по прекращению выпуска настольных процессоров 13-го поколения Raptor Lake-S с разблокированным множителем — начиная с текущего квартала BOX-версий чипа выпускать никто не будет. Последний срок для оформления заказов ...

Первые процессоры Intel Core, которые сама Intel производить не может. TSMC приступила к массовому производству Lunar Lake Ресурс DigiTimes сообщает, что TSMC приступила к массовому производству процессоров Intel Lunar Lake.  Судя по всему, ноутбуки на основе этих CPU появятся в конце третьего квартала, а CPU Arrow Lake выйдут на рынок в четвертом квартале.  Напомним, Lunar Lake,...

Улавливание углерода совместили с опреснением морской воды В рамках первого в своем роде сотрудничества калифорнийский стартап Capture6 и южнокорейская компания K-water создают пилотный проект, сочетающий улавливание углерода и опреснение морской воды.

Tropic Haze закроют проект эмулятора Yuzu Switch и выплатят Nintendo $2,4 млн Разработчик эмулятора Yuzu Switch, компания Tropic Haze LLC, прекратила свою деятельность и согласилась выплатить компании Nintendo компенсацию в размере 2,4 миллиона долларов

350 метров и 16 592 контейнера: представлен самый большой в мире контейнеровоз на зеленом метаноле Moller-Maersk представила самый большой в мире контейнеровоз Ane Maersk, работающий на зеленом метаноле, который был построен на корейской верфи Hyundai Heavy Industries в городе Ульсан, сообщает Bloomberg. Новый член флота Maersk имеет длину 350 метров и предназначен д...

Sony закроет лондонскую студию и сократит 900 рабочих мест Компания Sony объявила о сокращении около 900 сотрудников, что составляет 8% от компании PlayStation, включая закрытие лондонской студии PlayStation. Решение было необходимым для реструктуризации компании.

Lada показала лучший результат за 11 лет Продажи АвтоВАЗа в первом квартале выросли на 42,5%, за этот период было продано 91 563 легковых и лёгких коммерческих Lada. При этом в марте 2024 года было продано 42 840 автомобилей Lada, что является лучшим результатом за последние 11 лет. Продажи Lada Vesta выросли ...

Форд сокращает заказы на батареи из-за падения спроса на электромобили Ford снижает объемы заказов на батареи для электромобилей на фоне падающего спроса и увеличения убытков от продажи каждого электромобиля, в то время как конкуренция на рынке усиливается.

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Десятки тысячи Hyundai и Kia могут собрать в России под брендами GAC или BAIC Существует несколько сценариев для будущего производства автомобилей на бывшем заводе Hyundai в Санкт-Петербурге. По информации «Фонтанки», рассматривается вариант использования оставшихся компонентов на предприятии, что предполагает производство тех же моде...

Hewlett-Packard (HP) закрыла свой российский сайт, где все скачивали драйвера для устройств компании Теперь при попытке входа пользователи перенаправляются на казахскую версию портала.

Microsoft закрыла первый в мире подводный дата-центр спустя 11 лет работы В 2013 году компания рассказала об эксперименте Project Natick underwater data center, цель которого заключалась в проверке возможности запуска ЦОД под водой.

CCleaner больше не нужен. Появилась безопасная утилита для очистки и оптимизации компьютера Компания Microsoft начала выпускать для Windows 10 и 11 патчи, которые должны были закрыть уязвимость, связанную с  драйверами.

Upbit Singapore получает лицензию от Монетарного управления Сингапура Upbit Singapore, аффилированная с южнокорейской криптовалютной биржей Upbit, получила лицензию Major Payment Institution от Монетарного управления Сингапура, что позволит расширить список цифровых активов и услуг для клиентов. Компания готова использовать возможности Сингапу...

Пишем универсальный прототип бэкенд-приложения: Litestar, FastStream, dishka Привет, Хабр! Меня зовут Сергей, я техлид в команде PT BlackBox. Мы с коллегами разрабатываем продукт, который позволяет обнаруживать уязвимости в приложениях методом черного ящика. Фактически мы сами и пишем веб-приложения, и именно о них пойдет речь в статье.Я бы хотел с в...

Подразделение Toyota надолго остановило производство из-за недавнего скандала Daihatsu Motor Co., дочерняя компания Toyota по производству компактных автомобилей, объявила о приостановке производства по крайней мере до конца января в связи со скандалом, связанным с проверкой безопасности. Поскольку внутренние поставки уже остановлены, компания по-преж...

Российская компания выпустила самый мощный на отечественном рынке экзоскелет Российская компания TEN group (входит группу «ТехноСпарк») приступила к производству экзоскелета с номинальной грузоподъёмностью до 60 килограммов. По заявлению компании, это самая высокая грузоподъёмность среди всех подобных устройств отечественного произво...

Китайская компания SMIC в шаге от производства 5-нм чипов, несмотря на торговые ограничения Сообщается, что компания построила два новых завода по производству чипов.

Бывший завод Hyundai (АГР) собирает по 100 машин в сутки — пока только седаны Solaris и Rio, а Creta начнут выпускать только в августе  В данный момент бывший завод Hyundai в Санкт-Петербурге в Санкт-Петербурге, который теперь называется «Автомобильный завод АГР», собирает в среднем 100 автомобилей в сутки.  Подробности опубликовал Сергей Цыганов, известный автоэксперт и ведущий те...

Google перевезёт производство смартфонов Pixel в Индию Компания Google готовится к производству своих смартфонов Pixel в Индии.

AliExpress взбесил покупателей. Заказы не выполняются, а товары не доставляются Торговая площадка AliExpress в 2023 году остается одним из немногих онлайн-магазинов, где жители России могут покупать товары с глобальной международной доставкой из других стран мира. При этом ассортимент товаров, доступных для заказа и покупки, просто Читать полную версию...

Huawei и SMIC разработали технологию SAQP для производства 5-нм чипов Компании Huawei и SMIC разработали технику SAQP, которая позволяет достичь уровня 5 нм в производстве полупроводников

В России создали камеры для производства Инженеры компании «Росэлектроника» разработали миниатюрные камеры для робототехники и производств

Техпроцесс 3-нм принесет TSMC 20% дохода в 2024 году В отчете ICSmart отмечается, что узел EUV 3-нм станет ключевым источником доходов TSMC в 2024 году, составляя 20% общей выручки компании

Creality k1с, К1 устанавливаем RJ45 Недавно приобрел данный принтер, и сразу озадачился установкой RJ45.Почитав форумы понял , что вопрос интересует многих но реализации не нашел. Долго искал фото материнки от КМАКСа, и удалось обнаружить отсутствующие элементы. Вот список того что нужно купить, стоимость в р...

АвтоВАЗ отгрузит сотни специальных автомобилей Lada до конца недели АвтоВАЗ отгрузит до конца года около 700 специальных автомобилей Lada, предназначенных для людей с ограниченными возможностями, о чем сообщил вице-премьер и глава Минпромторга РФ Денис Мантуров. «У нас уже есть действующая программа по реализации таких машин, я им...

Над ИИ Samsung будет работать бывший разработчик Siri из Apple Bloomberg со ссылкой на внутренние документы Samsung сообщил о том, что южнокорейская компания пригласила к себе на работу бывшего сотрудника Apple, занимавшегося контекстным и разговорным пониманием голосового ассистента Siri.

Samsung представила новый чип памяти HBM3E 12H Компания Samsung Electronics анонсировала новую модель чипа памяти сверхвысокой пропускной способности, обеспечивающую самую большую ёмкость среди аналогов на рынке. Южнокорейский гигант утверждает, что использование HBM3E 12H позволяет повысить производительность более чем ...

Vivo X200 может стать первым смартфоном на процессоре MediaTek Dimensity 9400 Компания MediaTek разрабатывает Dimensity 9400 с использованием передового техпроцесса 3-нм второго поколения от TSMC, что должно дать чипсету высокую производительность и энергоэффективность

В России улучшили производство оптоволокна Учёные из компании «Швабе» разработали улучшения для производства оптоволоконных сетей

Китайская компания поможет в производстве Aurus в Санкт-Петербурге Китайская компания присоединится к производству автомобилей Aurus на заводе Toyota в Санкт-Петербурге, возможно, в партнерстве с FAW.

В 2026 году начнётся производство суперкара Quarkus P3 Третья разработка французской компании может дойти до стадии производства

LG Electronics отчиталась о снижении операционной прибыли в первом квартале Согласно предварительному отчёту южнокорейского производителя электроники LG Electronics, операционная прибыль компании сократилась на 11%, до 1,33 млрд вон, или $985 млрд.

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

Яндекс планирует закрыть сделку с eLama летом 2024 года Компания продолжит развивать платформу под тем же брендом. Клиенты eLama сохранят доступ ко всем привычным инструментам и получат новые возможности.

Готовьтесь дольше ждать, если часто отменяете заказы Яндекс.Такси: новый алгоритм Яндекс Такси начал внедрять алгоритм, учитывающий частоту отмен заказов со стороны пользователя, пишет ТАСС. Это нововведение призвано оптимизировать работу сервиса и сдержать рост цен на такси.

MWC2024: выпуск новых решений Huawei для умного города ускорит интеллектуализацию городов   Во время MWC 2024 компания Huawei представила свои решения для умного города нового поколения в ходе круглого стола на тему «Создание интеллектуальных двойников города для ускорения интеллектуализации городов». Эксперты правительственного сектора из Азиатско-Тихоокеан...

Wall Street Journal: Rheinmetall имеет амбиции по производству новейших танков «Пантера» в Украине Компания уже пытается наладить производство бронетехники на украинских территориях.

Microsoft избавилась от магазина приложений Компания Microsoft окончательно закрыла магазин приложений для смартфонов, работающих на Windows 10 Mobile.

В ИТ-секторе госзакупок сложился дисбаланс и это головная боль для заказчиков и исполнителей Среди ИТ-тендеров сформировалось несколько системных проблем: плохие техзадания, перекос в сторону крупных интеграторов, очередь работ по крупным заказам, а малые и средние команды практически простаивают. Что самое интересное — проблемы вытекают одна из другой.Эксперт: Тиму...

Какие камеры лучше для Android-смартфона: Sony, Samsung или OmniVision Возможно, для кого-то данный факт станет открытием, но в подавляющем большинстве производители смартфонов не разрабатывают камеры своих устройств. Те самые датчики, благодаря которым вы делаете фото и снимаете видео, выпускают другие компании. Своих сенсоров нет ни у Xiaomi...

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

LG переходит на OLED и прекращает производство ЖК-дисплеев В рамках стратегического решения, компания LG Electronics объявила о прекращении производства ЖК-дисплеев и полном переходе на технологию OLED.

В РФ запустят производство оборудования 5G Производством оборудования для внедрения мобильных сетей пятого поколения в России займется дочерняя компания МТС. 

В России начали производство электрокроссовера Evolute i-Sky Компания «Моторинвест» объявила о старте серийного производства на заводе в Липецке электрического кроссовера Evolute i-Sky

Adidas закрыл для России популярное спортивное приложение Компания Adidas свернула в России работу своего приложения для пробежек Adidas Running.

Российский ВПК запустил производство 300 катеров для силовиков на 3 млрд рублей Военно-промышленный холдинг KMZ заключил крупные контракты на строительство около 300 патрульных катеров для российских силовых ведомств в ближайшие три года. Общая стоимость заказов превышает 3 миллиарда рублей.

Mazda CX-8 снимают с производства: модель вышла только в 2017 году Mazda только что объявила, что производство Mazda CX-8 в Японии будет прекращено к концу 2023 года. CX-8 — это трехрядный кроссовер Mazda. Mazda CX-8 дебютировала в 2018 году, в 2022 году модель подверглась фейслифтингу, в ходе которого был представлен новый ...

Суд в Москве арестовал активы российской «дочки» Adidas на 3,5 млрд рублей по иску бывшего арендодателя Компания закрыла магазины в марте 2022 года, но продолжала сдавать в субаренду часть офисов и складов.

GEEKOM представила мини-ПК GEEKOM A7 Компания GEEKOM представила мини-ПК GEEKOM A7, который уже доступен для предварительных заказов

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

Специалисты компании «Инфосистемы Джет» провели комплексный аудит информационной безопасности ООО «ОССП» Специалисты ИТ-компании «Инфосистемы Джет» завершили комплексный аудит информационной безопасности по заказу ООО «Объединенные Системы Сбора Платы» — крупнейшего оператора платных автодорог в России.

МТС закрыла сделку по продаже «МТС Армения» ПАО «МТС» объявляет о закрытии сделки по продаже 100% акций своей дочерней компании в Республике Армения ЗАО «МТС Армения» - компании Fedilco Group Limited.

Корейский провайдер заразил 600 000 пользователей вредоносным ПО По данным южнокорейских СМИ, местная телекоммуникационная компания KT умышленно заразила своих клиентов вредоносным ПО, так как пользователи слишком активно скачивали контент через P2P.

В России запустили производство лазерных станков для печатных плат Московская компания начала выпуск лазеров для производства печатных плат и полупроводников

В Индии остановили производство iPhone из-за погодных условий Производство устройств Apple в Индии стало продолжением стратегии компании по внедрению производства за пределами Китая

Huawei инвестирует $1,66 млрд в завод по производству чипов, чтобы не зависеть от США Компания Huawei вкладывается в собственное производство чипов, чтобы не зависеть от США и ЕС, переманивая лучших специалистов со всего мира.

Samsung может переоборудовать фабрику в Техасе для производства чипов по 2-нанометровой технологии Ранее компания планировала запустить на данном предприятии производство 4 нм чипов.

Музыкант – «ИнструментМаньяк» – опубликовал на YouTube музыкальную тему из San Andreas Музыкальная тема из GTA San Andreas сыграна и записана с использованием большого количества инструментов. Видео производит большое впечатление.

Apple отменила разработку собственного электромобиля после 10 лет разработки Кажется, один из самых амбициозных проектов Apple провалился. После 10 лет работы, компания решила закрыть разработку своего электромобиля.

LG сократила поставки и вложения в Tesla из-за падения продаж электромобилей Южнокорейская компания LG Energy Solution (LGES), которая является ключевым поставщиком аккумуляторов для электромобилей, отчиталась о серьёзном падении доходов в силу снижения спроса на электромобили по всему миру.

США и Южная Корея будут совместно разрабатывать беспилотник для разведывательных миссий ВМС Американская компания Palantir и южнокорейская судостроительная компания HD Hyundai Heavy Industries заключили партнерское соглашение о разработке морского беспилотника для разведывательных миссий военно-морского флота.

Великобритания присоединилась к европейскому консорциуму по производству чипов Великобритания решила не отставать от ЕС, из которого вышла, в производстве чипов. Для этого британским компаниям нужен допуск к развитой производственной и научной базе.

Из-за торговых ограничений США крупные компании переносят производство чипов из Азии Компании Amazon, Google, Microsoft и другие рассматривают Мексику в качестве нового центра производства серверов для искусственного интеллекта.

В Подмосковье построят еще один крупный завод по производству спецтехники Белорусская компания «Амкодор» запустит производство телескопических погрузчиков и погрузчиков с бортовым поворотом.

Samsung Galaxy S24, Galaxy S24 Plus и Galaxy S24 Ultra запущены в производство Инсайдер, известный в Weibo и Twitter под ником Ice Universe, сообщил о том, что Samsung запустила в производство новую линейку флагманов – Galaxy S24. По словам информатора, премьера состоится в январе 2024 года – или в середине месяца, или ближе к концу. ...

Volvo закрыла свой музей старинных автомобилей, существовавший с 1995 года Один из маститых европейских автопроизводителей, шведская компания Volvo, закрыла музей своих винтажных автомобилей, существовавший с 1995 года. Музей располагался в городе Гётеборг — там же, где находится и головной офис компании.

«Ситилинк» уволила сотни IT-специалистов и намерена закрыть 100 торговых точек по всей России По данным источников, увольнением сотрудников и закрытием торговых точек решается проблема падения чистой прибыли компании «Ситилинк».

Для Galaxy S10 вышло неожиданное обновление. Samsung закрыла поддержку устройства ещё летом Galaxy S10, первый 5G-смартфон Samsung 2019 года, успешно поддерживался компанией в течение четырёх лет, прежде чем производитель прекратил выпуск программных апдейтов для него в июне 2023 года.

XPeng начнет принимать заказы на летающие автомобили в этом году Сопрезидент XPeng Брайан Гу объявил, что филиал компании, AeroHT, планирует доставить свой летающий автомобиль покупателям к 2026 году. Это транспортное средство, получившее название XPeng AeroHT Land Aircraft Carrier, будет доступно для предварительного заказа в конце этого...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Компания Varta вынуждена остановить производство элементов питания из-за кибератаки Немецкая компания Varta AG заявляет, что подвергалась кибератаке, из-за которой пришлось отключить часть ИТ-систем, что в итоге привело к остановке производства на нескольких заводах.

В Японии проверят Toyota из-за выявленных при производстве двигателей нарушений Компания Toyota Motor объявила о приостановке производства автомобилей серий Land Cruiser 300, пикапа Hilux и еще 8 моделей с дизельными двигателями.

Прототипирование и проверка дизайна детских товаров с помощью 3D-принтеров от Intamsys Японская корпорация Combi — одна из крупнейших и старейших компаний в области разработки и производства товаров для детей и родителей, работающая с 1957 года. Сегодня компания продает продукцию высочайшего качества почти в ста странах. Корпорация Combi владеет и управляет пр...

Samsung "украдёт" функцию спутниковой связи у iPhone 14 спустя год Samsung подтвердила планы по внедрению спутниковых функций в свои флагманские смартфоны Galaxy S24 в начале 2024 года. Ранее южнокорейская компания уже заявляла о намерении внедрить в свои смартфоны спутниковую связь.

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Управлять доставками теперь можно через бизнес-профиль в «Яндекс Go» Команда Яндекса объявила о запуске нового сервиса в рамках «Яндекс Доставки». Стал доступен специальный интерфейс для малого и среднего бизнеса в приложении «Яндекс Go». Теперь предприниматели могут создать в приложении профиль своей компании, пр...

Intel хочет выпускать ускорители вычислений для других компаний По их заказам и на территории США.

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Опрос МТС RED: две трети компаний сталкиваются с нехваткой кадров на фоне борьбы со сложными кибератаками Компания МТС RED представила результаты опроса российских компаний по теме защиты от киберугроз.

Micron инвестирует рекордные $125 млрд в новые заводы по производству микросхем в США Байден также выделяет компании $6 млрд субсидий на развитие масштабного инвестпроекта по созданию передовых производств микросхем.

Apple увеличит объем заказов на 3-нм чипы у TSMC на 50% в 2024 году Вероятно, компания снова станет крупнейшим клиентом TSMC в этом году.

Intel закончила год с рекордной выручкой на контрактном направлении Компания уже располагает заказами на $10 млрд.

Пул LFNTY, компании Lifinity теряет $700 000 Ошибка в заказе «Немедленно или отменить» привела к утечке почти $700 000 из пула LFNTY-USDC компании Lifnity

Разговор с Алексеем Усановым из Positive Technologies о реверс‑инжиниринге и создании книги по этой специализации Это первый материал по «ИТ‑Пикнику», проходившему 2 сентября 2023 года. Будет отдельный обзорный материал мероприятия, а пока хочу представить интервью с руководителем направления исследований безопасности аппаратных решений компании Positive Technologies...

Завод Hyundai в Петербурге будет простаивать до конца года Стало известно, что петербургский автомобильный завод южнокорейского концерна Hyundai (ООО «Хендэ Мотор Мануфактуринг Рус», ХММР) продлил режим простоя до конца текущего года. Как сообщили журналистам в Центре занятости населения Санкт-Петербурга, предприяти...

Обзор корпусных вентиляторов ID-Cooling AS-140-K Сегодня на рынке ПК-комплектующих немного странная ситуация: раньше компьютеры были огромными и требующими сложного обслуживания, но со временем стали уменьшаться, благодаря чему смогли стать персональными, но сейчас многие компоненты снова увеличиваются в размерах и стано...

LG начала снижать продажи OLED-телевизоров из-за проблем с поставками матриц Южнокорейская LG Electronics сообщила о снижении продаж OLED-телевизоров из-за проблем с поставками матриц. У компании только один поставщик OLED-панелей для телевизоров — LG Display.

Скоро автомобили Lada X-Cross 5 появятся на дорогах общего пользования. Опубликованы фото десятков машин Подробности о ммногострадальных кроссоверах Lada X-Cross 5 опубликовал инсайдерский паблик Avtograd News в соцсети «ВКонтакте». Скоро автомобили Lada X-Cross 5 (на базе FAW Bestune T 77) появятся на дорогах общего пользования в качестве корпоративного транс...

Владелец TikTok откажется от продажи соцсети в США и скорее закроет приложение Владелец и материнская компания соцсети TikTok, китайская корпорация ByteDance заявила о невозможности каких-либо уступок властям США после принятия закона, поставившего сервис на грань выживания в США.

Foxconn разрешили вложить ещё $1 млрд в строительство завода в Индии Тайваньская компания Foxconn получила разрешение регулирующих органов Индии инвестировать как минимум ещё $1 млрд в строящийся в стране завод, на котором будет осуществляться сборка устройств по заказам компании Apple.

Foxconn разрешили вложить ещё $1 млрд в строительство завода в Индии Тайваньская компания Foxconn получила разрешение регулирующих органов Индии инвестировать как минимум ещё $1 млрд в строящийся в стране завод, на котором будет осуществляться сборка устройств по заказам компании Apple.

Как селлер картин чуть не провалился с нестандартными товарами на Wildberries. А потом в 6 раз увеличил доход Как продавать сложные, нестандартные товары на WB? Когда производство идет под заказ и доставка становится невыносимо долгой. Что делать, если отрицательные отзывы понизили рейтинг и карточка товара упала в выдаче? Как вернуть доверие покупателей и повысить привлекательность...

Раскрыта дата премьеры Samsung Galaxy S24, Galaxy S24 Plus и Galaxy S24 Ultra Известный инсайдер Ice Universe ранее сообщил, что премьера новых флагманов Samsung линейки Galaxy S24 состоится в январе 2024 года, а сейчас корейский ресурс SBS Biz привел более точные данные на этот счет. Как сообщается, Galaxy S24, Galaxy S24 Plus и Galaxy S24 Ultr...

У нас отмена: на Wildberries появилась кнопка отказа от покупки Российский маркетплейс Wildberries запустил функцию отмены заказа: появилась кнопка, которая позволяет отменить доставку, но только в течение одного часа после оформления заказа или до момента начала его сборки (в зависимости от того, что наступит раньше). О тестировани...

Хранение данных на ДНК/РНК: возможности и перспективы Приветствую всех айтишников и технарей. Выпустив очередную часть саги про ЯМР, я словил катарсис и почувствовал за собой моральное право опять пографоманить на отвлеченные темы. И сегодня мы с двух ног ворвемся в тему хранения данных на ДНК/РНК. Тема интересная, и намного бл...

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

[Перевод] Собираем объёмный дисплей на Raspberry Pi Недавно мне посчастливилось очутиться в пабе с очень творческими и талантливыми людьми. Обсуждение затронуло тему светодиодных свечей и того, как можно создать нечто, под любым углом напоминающее мерцающую свечу. Я предложил дисплей на основе эффекта инерции зрения, но боль...

Заказ Galaxy Ring будет зависеть от того, знает ли клиент размер своих пальцев Инсайдер Эван Бласс поделился снимком фрагмента страницы «Мой заказ», на которой можно заказать доставку смарт-кольца Samsung Galaxy Ring. Инсайдер отметил в электронном письме, что на странице указаны два способа заказа и доставки этих носимых устройств.

Южная Корея запретила поставку литий-ионных аккумуляторов в Россию. Это затронуло Enertech, «Рэнера» и «Росатом» В соответствии с новыми санкциями, Южная Корея прекратила поставки литий-ионных аккумуляторов в Россию, включая модели для электромобилей, о чем пишет «Коммерсантъ». Это решение затронуло Enertech, главного поставщика южнокорейских батарей для России. Компан...

Какие запросы про ипотеку интересуют пользователей Яндекса Исследование

Мошенников больше не интересует ваша карта. Они придумали, как украсть кое-что посерьезнее Опасно!

Решения для крупноформатного аддитивного производства от Kings 3D Компания Kings 3D основана в 2015 году. Сегодня это национальное высокотехнологичное предприятие, занимающееся исследованиями и конструкторскими работами, производством и применением аддитивного оборудования. Kings 3D — один из немногих китайских производителей, выпускающих ...

На фоне растущего спроса на высококачественную сталь для машин японская Nippon Steel покупает американскую U.S. Steel Крупнейший японский производитель стали, Nippon Steel, принял решение о приобретении американской компании U.S. Steel, работающей в том же секторе. Как пишет ТАСС, со ссылкой на официальное заявление, опубликованное на сайте Nippon Steel, сумма сделки составит $14,1 млр...

Hyundai анонсировал модель кроссовера IONIQ 5 2025 года Южнокорейский автопроизводитель Hyundai анонсировал модель 2025 года IONIQ 5 на специальном мероприятии.

Какой флагман лучше? Samsung Galaxy S24 Ultra сравнили с Google Pixel 8 Pro Эксперты GSMArena сравнили южнокорейский флагманский смартфон с американским.

В бенчмарке появился южнокорейский вариант Samsung Galaxy S24+ на Exynos 2400 Протестирован Galaxy S24+ с 12 ГБ памяти

АвтоВАЗ собирается разогнать производство Lada Largus более чем вдвое АвтоВАЗ планирует выпустить в этом году около 40 000 Lada Largus, а в следующем году нарастить производство и продажи более чем вдвое, о чем рассказал вице-президент по продажам и маркетингу концерна Дмитрий Костромин. Что касается этого года - я продавец и хотел бы про...

За доставку дилерам теперь нужно доплачивать. Если заказать машину на сайте УАЗ, то придётся ждать до полугода Ульяновский автозавод с 1 ноября принимает «компенсационные меры», которые вызваны неконтролируемым ростом стоимости доставки готовых автомобилей по России. Теперь каждый автомобиль УАЗ продаются с доплатой за его доставку до дилерского центра в размере 50 т...

Avast закрыла доступ к своим продуктам в России Компания Avast окончательно закрыла доступ к своим продуктам для российских пользователей. Теперь антивирусы Avast и AVG (включая Avast Mobile Security для Android и Avast Free Antivirus), а также утилита CCleaner не работают в РФ. При попытке их запуска отображается предупр...

Google может перейти на чипсеты TSMC для Pixel 10 Телефоны Google Pixel могут претерпеть значительные изменения в 2025 году, поскольку, по некоторым данным, компания планирует перейти с Samsung Foundry на TSMC для своих процессоров Tensor. Инсайдер Revegnus заявил, что TSMC будет производить чипсеты для серии Pixel 10 и пос...

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Xiaomi представила новый MIniLED-монитор Redmi G Pro 27 Xiaomi расширяет свой ассортимент мониторов с новым продуктом — Redmi G Pro 27, который уже доступен для предварительного заказа на официальном магазине. Предварительные заказы принимаются с 19 по 22 февраля.

В Китае оформили уже 30 000 заказов на новейший кроссовер Li Auto L6, а в России он уже подешевел Li Auto сообщила о том, что объем заказов на кроссовер Li Auto L6 достиг отметки в 30 тысяч. Это говорит о большой популярности кроссовера, ведь заказы начали принимать всего 16 дней назад. В компании подчеркнули, что поставки заказанных машин осуществляются бесперебойн...

В России снизились объёмы производства оптоволокна на 11% В России на 11% снизилось производство оптоволоконных кабелей из-за снижения спроса у телеком компаний

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Google работает над переходом к полностью собственному производству чипов Tensor Google решила полностью перейти на собственное производство чипов Tensor, о чём говорят последние контракты компании с тайваньскими TSMC и King Yuan Electronics Corp. (KYEC).

Вы, конечно, шутите, мистер Нейман! Страшная сказка о серой слизи Под конец работы над моей последней сентябрьской публикацией «Неизбежность, незаменимость и туманные перспективы пилотируемой космонавтики» я даже полагал, что она может получиться упаднической. Тем не менее, активное обсуждение (83 комментария) явно приглашает к продолжению...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Представлена беспроводная колонка Samsung в виде рамки для фотографий Южнокорейская компания Samsung официально представила новую беспроводную колонку в виде рамки для фотографий.

В США запустят массовое производство человекоподобных роботов «общего назначения» В США робототехническая компания Agility Robotics откроет первый в мире завод для массового производства человекоподобных роботов. Предприятие называется RoboFab.

Цикл статей от технолога по запуску печатных плат в производство: от выбора материалов до поиска ошибок проектирования Нормы проектирования печатных плат зафиксированы в ряде многостраничных спецификаций, которыми пользуются специалисты. При этом есть нюансы, о которых можно узнать лишь на практике. Проводником в непростой мир изготовления печатных плат для вас станет Александр Патутинский, ...

Производство композиционных материалов в Томске увеличилось в 1,2 раза Научно-производственная компания «Полимер-компаунд» в Томске увеличила производство композитных материалов благодаря поддержке Фонда развития промышленности. Новое отделение будет заниматься производством материалов для различных отраслей, таких как кабельная, электротехниче...

Немецкий производитель электромобилей e.GO объявил о банкротстве Немецкий стартап по производству электромобилей e.GO Mobile объявил о своем банкротстве. Несмотря на первоначальные амбициозные планы, компания не смогла запустить серийное производство рентабельных моделей и в итоге закрывается, оставляя без работы более 200 человек.

Тольяттинская компания запустит производство филаментов из композиционных материалов Резидент технопарка «Жигулевская долина» 3DVolk (ООО «3ДВолк Технологии») вошел в инновационный центр «Сколково» с проектом по разработке технологии производства высокотемпературного полимерного композита для 3D-печати.

Компания NVIDIA намерена прекратить производство видеокарт GeForce GTX 16 Конец эпохи GeForce GTX: видеокарты 16-серии снимаются с производства

Defense Post: ВМС США заказали у Boeing партию истребителей F/A-18 на сумму $1,1 млд Компания Boeing продлевает выпуск палубных истребителей из-за поступившего заказа

Компания LG Electronics USA представила новую линейку ноутбуков 2024 Gram Pro и 2024 Gram Pro 2-в-1 Все модели доступны для предварительного заказа на сайте LG.com.

Huawei уже заменила компанию Nvidia в Китае: она поставляет ИИ-чипы для Baidu Китайская компания Baidu заказала чипы, предназначенные для использования с ИИ-приложениями, у Huawei, вместо традиционного американского поставщика Nvidia. Это решение было принято после введения США санкций, запрещающих американским компаниям поставлять подобные проду...

Wildberries запустил двойную аутентификацию для защиты от случайных заказов Российский маркетплейс Wildberries, как и планировалось, запустил опцию дополнительного подтверждения заказа в приложении. Новая функция, по задумке разработчиков, призвана свести к минимуму возможность «случайных» заказов, которые могут быть сделаны с аккау...

Гендиректор Intel «поставил всю компанию» на новейший техпроцесс В недавнем интервью генеральный директор Intel Пэт Гелсингер сделал смелое заявление, заявив: «Я поставил всю компанию на 18A». Это значительный сдвиг по сравнению с его предыдущей позицией, когда он признавал важность 18A, но не заявлял о принципе «все или ничего».

Как работает функция True Tone и как её включить Узнайте ответы на все интересующие вопросы.

Китайская компания SMIC готовится к переходу на 3-нм техпроцесс Китайский производитель полупроводников SMIC, как сообщается, создает команду для перехода на 3-нм техпроцесс. Однако неясно, как SMIC и Китай получат необходимое оборудование в условиях ограничений со стороны США.

Анонсированы китайские процессоры Zhaoxin KX-7000 – 7-нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Китайская компания Zhaoxin представила 8-ядерный процессор KaiXian KX-7000, предназначенный для настольных ПК. Чип доступен в двух версиях, которые отличаются только диапазоном рабочих частот. ОсобенностиZhaoxin KX-7000 производятся по 7-нм техпроцессу и похож на Intel…

Apple заблокировала запуск стороннего магазина Epic Games Apple закрыла учетную запись разработчика шведской компании Epic Games. Технический гигант утверждает, что такие радикальные меры были предприняты из-за ненадежного поведения Epic Games. После вступления в силу Закона ЕС о цифровых рынках, Apple разрешила в iOS 17.4…

Разработчик Siri сделает смартфоны Samsung умнее Корпорация Samsung будет развивать собственного голосового помощника усилиями бывшего инженера Apple. Источники агентства рассказали, что южнокорейская компания переманила сотрудника Apple Мурата Акбачака. Он известен тем, что занимался разработкой и поддержкой голосового а...

Это Vivo X100 Pro во всей красе. Новинка уже стала самой популярной среди всех Android-смартфонов на SoC Dimensity в Китае Компания Vivo опубликовала официальные изображения флагманского смартфона Vivo X100 Pro в четырех цветах. Кроме того, Vivo X100 и Vivo X100 Pro уже стали самыми популярными смартфонами на базе однокристальной системы Dimensity, согласно данным по предвари...

Xiaomi в отчаянии: с производством титанового Xiaomi 14 Pro большие сложности Глава Xiaomi Лей Цзунь (Lei Jun) сделал признание на своей страничке в соцсети Weibo – у компании сложности с производством Xiaomi 14 Pro Titanium Special Edition. «Мы отчаянно требуем [новые] заказы! Титановый сплав дорог и сложен в обработке, поэтому зака...

Компания "Гравитон" запускает серийное производство компьютеров среднего ценового сегмента Компания «Гравитон» сообщила о запуске серийного производства персональных компьютеров среднего ценового сегмента: Д32И и Д52И. Обе модели включены в реестр Минпромторга РФ.

Южная Корея наращивает производство авиадвигателей для истребителей Компания Hanwha Aerospace начала строительство нового завода по выпуску авиационных двигателей для истребителей KF-21 Boramae и учебных самолетов TA-50 в городе Чханвон. Инвестиции в проект составляют $30 млн, а мощность производства - 300 двигателей в год.

Место крипты в реальном мире, или Куда придёт веб завтра? Криптовалюты пока не захватили мир, потому что мозг начинает кипеть от малейших попыток погружения в этот зоопарк токенов, майнинга, стейкинга, фарминга, мостов и прочей сложной терминологии — единицы готовы в этом разбираться. Проблема в том, что сегодня вся крипта сделана ...

Apple представила чипы M3, M3 Pro и M3 Max В рамках октябрьской презентации компания Apple представила свои новые чипы — M3, M3 Pro и M3 Max. Новинки выполнены по 3-нм техпроцессу и по ряду характеристик заметно превосходят предшественников.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также мо...

NVIDIA сняла с производства самые «народные» видеокарты линейки RTX 40XX В сети появилась информация о не самом приятном решении NVIDIA — компания прекратила производство двух популярных моделей видеокарт. Речь идёт об RTX 4070 Ti и RTX 4080.

Процессор Zilog Z80 спустя почти 50 лет решили снять с производства Мир технологий прощается с легендой. Спустя почти 50 лет компания Zilog прекращает производство процессора Z80 — микропроцессора, на котором работало бесчисленное множество консолей, аркадных автоматов и встраиваемых устройств.

Tesla построит в Шанхае «гигафабрику» для производства аккумуляторов Компания Tesla провела церемонию подписания соглашения с властями Шанхая о приобретении земельного участка под строительство завода по производству мощных аккумуляторов Megapack.

TSMC запускает строительство завода по производству чипов в Германии Компания TSMC объявила о начале строительства своего европейского завода по производству полупроводников в Дрездене, Германия. Инвестиции в проект составляют 11 миллиардов долларов, а производство запланировано на 2027 год.

NexTouch начала массовое производство системных плат под российские процессоры Компания «Некс-Т», которая является резидентом «Технополиса Москва» и многим известна под торговой маркой NexTouch, приступила к массовому производству системных плат под российские «Элвисы» и «Байкалы».

Компания Backbone представила контроллер ограниченной серии "DEATH STRANDING" Контроллер будет доступен для прямых заказов на сайте playbackbone.com по цене $124,99

На летающий автомобиль Model A стоимостью $300 000 поступило 2850 предзаказов Компания Alef Aeronautics, поддерживаемая SpaceX, получила 2850 предварительных заказов на летающий автомобиль стоимостью 300 тысяч долларов.

300-миллиметровые полупроводниковые пластины, появившиеся почти 25 лет назад, наконец-то будут заменены? TSMC рассматривает переход на квадратные пластины На сегодняшний день в производстве полупроводников по современным техпроцессам используются привычные круглые 300-миллиметровые пластины, появившиеся почти четверть века назад. Разговоры о переходе на 450-миллиметровые пластины ходят давно, но, возможно, этого так и не ...

Представлено умное кольцо Samsung Galaxy Ring Южнокорейский бренд решил удивить всех на выставке MWC 2024 в Барселоне.

Южнокорейская разведка пытается посеять раздор в семействе Ким Чен Ына Все, как встарь - отцы и дети...

LG идёт в роботы: компания вложила $60 млн в разработку ресторанных роботов Южнокорейский производитель электроники LG Electronics вложил $60 млн в развитие стартапа Bear Robotics, разрабатывающего серверных ИИ-роботов для обслуживания посетителей ресторанов и других заведений общепита. Роботы Bear Robotics — автономные установки-башни с подносами н...

Немецкий концерн Rheinmetall и Литва договариваются о производстве 155-мм артиллерийских снарядов Литва намерена подписать соглашения с компанией Rheinmetall, считающейся одним из крупнейших производителей военной техники и вооружения в Германии и Европе, о создании на ее территории завода по производству 155-мм артиллерийских боеприпасов.

Калининградский производитель электроники GS Group объявляет о переходе на ODM-производство Компания GS Group из Калининграда анонсирует свою новую стратегию ODM-производства, включающую полный цикл услуг от разработки до производства электроники, а также поддержку в регистрации продукции для государственных закупок.

В Подмосковье появится производство промышленных роботов Планы запустить производство промышленных роботов в Подмосковье к концу 2024 года реализует компания «СМТ», которая стала официальным представителем китайского производителя CRP. Соглашение о запуске производства было подписано на Петербургском международном экономическом фо...

«Михалыч, где заказ?» или как мы автоматизировали процесс заказа лома и отслеживали его по RFID Для того, чтобы повысить качество производства и продолжать расти, бизнесу приходиться автоматизировать ручные операции. Но борьба с легаси-процессами только на первый взгляд кажется простой и лёгкой. Найти то, что плохо работает и придумать, как это решить, не так то просто...

SK Hynix начинает серийное производство первой в отрасли памяти HBM3E Компания SK hynix Inc. объявила о начале серийного производства HBM3E, новейшего продукта памяти AI со сверхвысокой производительностью, который будет поставляться заказчику с конца марта

"Росэлектроника" открывает новую страницу в производстве управляющих модулей для беспилотников Компания "Росэлектроника" запустила серийное производство модулей управления для беспилотников, включая навигационные модули и контроллеры двигателя, совместимые с множеством протоколов передачи данных.

Производство российских чипов Baikal сталкивается с проблемами качества В процессе налаживания производства отечественных чипов Baikal компания «Байкал электроникс» столкнулась с высоким уровнем брака из-за недостатка квалификации специалистов и проблем с оборудованием.

Huawei и тут собирается обойти санкции США. Компания наладит собственное производство памяти HBM Компания Huawei собирается выйти на новый для себя рынок, который позволит ей создавать более производительные чипы. Речь о производстве памяти HBM.  Huawei Technologies объединяется с Fujian Jinhua Integrated Circuit и другими китайскими компаниями для разработки...

Samsung и SK hynix добились от США бессрочного права поставлять в Китай оборудование для выпуска чипов Samsung Electronics и SK Hynix будет разрешено поставлять американское оборудование для выпуска чипов на свои китайские заводы без отдельных разрешений США, о чем сообщили в администрации президента Южной Кореи и в пресс-службах компаниях. Как пишет Reuters, ранее ...

Прощаемся с физическими носителями: Disney Movie Club закрывается «Магия» владения фильмами Disney на Blu-ray или DVD может скоро исчезнуть. Неожиданным шагом стало объявление компании Disney о закрытии Disney Movie Club. Этот сервис подписки, предлагающий обширную библиотеку фильмов Disney, Marvel, Star Wars, Pixar и 20th Century Studios,...

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

LG в России чувствует себя отлично, несмотря на приостановку поставок: прибыль выросла на порядок Южнокорейская LG Electronics увеличила чистую прибыль в России за 2023 год в 8,7 раза, она составила почти 3,3 млрд рублей. При этом в 2022 году прибыль компании сократилась в 19 раз по сравнению с 2021 годом после приостановки всех поставок в Россию. Чистая прибыль в ...

Samsung и LG уже не котируются. Лидерами на российском рынке телевизоров стали Haier, Hisense и Xiaomi В 2023 году продажи телевизоров в России выросли на 28%, достигнув отметки в 8,7 миллиона устройств, как сообщает «Коммерсантъ» со ссылкой на ритейлеров. Лидерство захватил китайский бренд Haier, вытеснив с первых позиций южнокорейские Samsung и LG. Hisense ...

В Перми организуют производство промышленных 3D-принтеров и роботов Совет по предпринимательству и улучшению инвестиционного климата присвоил статус «приоритетного» инвестиционному проекту компании «Роботех» по созданию производства высокотехнологичного автоматизированного оборудования.

TSMC приостановила производство чипов после землетрясения на Тайване Тайваньская компания по производству полупроводников (TSMC), крупнейший в мире производитель современных чипов, остановила работу некоторых машин по производству микросхем и эвакуировала персонал после крупнейшего землетрясения, произошедшего на острове. Это может вызвать…

15 ноября открываются предварительные заказы на смартфоны Meizu 21 и 21 Pro Компания Meizu готовится представить флагманский телефон на базе процессора Snapdragon 8 Gen 3 в этом году.

Сначала на новую «Волгу» из Китая будут только клеить шильдики, а затем ГАЗ планирует локализовать производство Группа ГАЗ завершает поиск технологического партнёра, наработки которого лягут в основу перезапущенной «Волги», о чем пишет Rozetked со ссылкой на вице-премьера и главу Минпромторга РФ Дениса Мантурова. Мантуров, который по совместительству является главой с...

Место “Силы” в деглобализированной экономике Каково влияние деглобализации мировой экономики на развитие российского ИТ-рынка? Данная тема обсуждалась на панельной дискуссии форума «День Силы», проведенного компанией «Сила» в конце сентября в Москве. Эта компания была создана три года назад ...

Samsung придумала новое название для Galaxy S24 Ultra Южнокорейский бренд зарегистрировал торговый знак AI Phone для всей серии Galaxy S24.

Южнокорейские регуляторы велели Tesla отозвать все проданные в стране электромобили Это почти 64 тысячи экземпляров.

Sony объявила о заключении партнёрского соглашения с южнокорейской NCSOFT Последняя славится многопользовательскими играми Guild Wars, Aion и другими.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)