Социальные сети Рунета
Вторник, 2 июля 2024

Intel хвалит свои техпроцессы, но новые CPU Lunar Lake будет полностью производить на мощностях TSMC Компания Intel раскрыла некоторые подробности о малых ядрах процессоров Lunar Lake.  Lunar Lake ожидаются ближе к концу года. Эти процессоры с самого начала создавались с упором на максимальную энергоэффективность, поэтому малым ядрам тут уделено много внимания.&n...

DigiTimes: TSMC начала массовое производство 3-нм кристаллов для новых процессоров Intel В частности, Intel выбрала 3-нм техпроцесс TSMC для производства вычислительной «плитки» будущих процессоров Core Ultra 200 семейства Lunar Lake.

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

Intel нахваливает свой новый техпроцесс Intel 3, но процессоры Lunar Lake отдала на производство TSMC Вчера мы узнали, что TSMC приступила к массовому производству процессоров Intel Lunar Lake, основная плитка которых производится по техпроцессу 3 нм. При этом и сама Intel начала выпуск по нормам Intel 3, которые, как минимум согласно своему названию, должны быть сходны...

Intel представила новую мобильную архитектуру Lunar Lake, выход которой запланирован на 3 квартал Компания задействовала 3-нм техпроцесс TSMC для одной плитки нового процессора, что знаменует собой монументальный сдвиг в стратегии производства процессоров.

AMD представила мобильные процессоры для устройств Copilot+ PC На ежегодной выставке Computex 2024 компания AMD представила мобильные процессоры линейки Ryzen AI 300, которые относятся к семейству APU Strix Point. Чипы включают в себя процессорные ядра на архитектуре Zen 5, графику RDNA 3.5 и нейронный процессор XDNA 2 (NPU) для ускоре...

Первые процессоры Intel Core, которые сама Intel производить не может. TSMC приступила к массовому производству Lunar Lake Ресурс DigiTimes сообщает, что TSMC приступила к массовому производству процессоров Intel Lunar Lake.  Судя по всему, ноутбуки на основе этих CPU появятся в конце третьего квартала, а CPU Arrow Lake выйдут на рынок в четвертом квартале.  Напомним, Lunar Lake,...

PlayStation 5 Pro получит графический процессор AMD RDNA3 с 60 вычислительными блоками Однако другой источник утверждает, что консоль получит на четыре вычислительных блока меньше

PlayStation 5 Pro получит графический процессор AMD RDNA3 с 60 вычислительными блоками Однако другой источник утверждает, что консоль получит на четыре вычислительных блока меньше

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Вот это апгрейд. Новейшие процессоры AMD Ryzen 7 8700G и Ryzen 5 8600G оказались на 50-67% быстрее предшественников В Сети появились результаты тестов процессоров AMD Hawk Point в Geekbench, и они демонстрируют значительный прирост производительности. Для начала напомним характеристики новых CPU. AMD Ryzen 7 8700G – это топовая модель линейки. У него 8 ядер, 16 МБ кэш-памяти т...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Apple A18 Pro в iPhone 16 получит самый быстрый NPU на рынке Новый нейронный процессор NPU внутри однокристальной системы Apple A18 Pro, которая будет использоваться iPhone 16, будет более производительным, чем соответствующий блок в Apple M4, установленной в iPad Pro. NPU внутри чипа A17 Pro, который используется в моделях iPhon...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

Intel Core Ultra: новая эра эффективности, искусственного интеллекта и графики Это первые плиточные процессоры компании, изготовленные по новому 4-нм техпроцессу Intel. По сути, это принципиально новая архитектура, не похожая ни на что из предыдущих разработок.

MacBook Pro на М4 выйдет в первом квартале 2025 года Новый MacBook Pro на базе процессора M4 от компании Apple, если верить западным инсайдерам, уже находится в стадии активной разработки, но это вовсе не значит, что новая система на кристалле появится в ближайшее время — специалисты уверены, что с учётом сроков на производств...

Финский стартап утверждает, что их вычислительный блок может ускорить любой процессор в 100 раз Flow Computing представил архитектуру «CPU 2.0», ключевым достижением которой является параллельная обработка вычислений.

Intel показала что-то совсем новое. Процессоры Meteor Lake могут воспроизводить видео в Full HD без использования основного кластера CPU или GPU Даже в 2025 году у процессоров Intel будет лишь восемь больших ядер. Зато обновлённые Arrow Lake получат до 32 малых ядер Компания Intel продолжает подогревать интерес к своим грядущим процессорам Meteor Lake, которые выйдут в декабре. В свежем видео Intel показала, ка...

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Samsung готовит производство чипов на 1 нм техпроцессе Если верить информации западных инсайдеров, компания Samsung Foundry планирует представить свой передовой технологический процесс в 1 нанометр в следующем месяце с запуском массового производства к 2026 году. Соответственно, пока Intel и TSMC занимались своими собственными т...

4 нм, 8 ядер, графика AMD и поддержка до 200 Мп. Спустя две недели после премьеры Galaxy A55 Samsung наконец-то представила платформу Exynos 1480 Смартфон Samsung Galaxy A55 дебютировал 11 марта, но тогда компания «забыла» рассказать о его однокристальной системе. Недоразумение исправлено сейчас: Exynos 1480, на которой и построен Galaxy A55, полностью рассекречена. CPU системы представлен четырьмя я...

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

AMD готовится выпустить настольные процессоры Ryzen 8000G серии Phoenix Похоже, что компания AMD готовится выпустить новую серию APU Phoenix, которые должны выйти на рынок под маркой AMD Ryzen 8000G, основанной на вычислительных ядрах Zen 4 и графике RDNA 3.

Благодаря этому процессору AMD рынок портативных приставок полностью изменился. Появились фото APU Aerith консоли Steam Deck под микроскопом Valve назвала лучшие игры Steam за 2023 год. Там есть Baldur's Gate 3, Hogwarts Legacy и Starfield Портативная игровая приставка Steam Deck основана на гибридном процессоре AMD под кодовым именем Aerith, который относится к линейке Van Gogh. И сегодня у нас есть во...

Qualcomm представила процессор Snapdragon 6s Gen 3 Сегодня компания Qualcomm тихо и без лишнего шума анонсировала свою новую доступную аппаратную платформу Snapdragon 6s Gen 3, которая будет использоваться для смартфонов начального уровня. Впрочем, такой подход кажется вполне ожидаемым, так как Snapdragon 6s Gen 3 не предста...

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

И в настольном сегменте у Intel наконец-то будет что-то новое. Очередной процессор линейки Arrow Lake засветился в Сети В Сети в очередной раз засветился процессор Intel Arrow Lake-S. Название модели отсутствует, но зато известно о наличии 24 потоков. При этом количество ядер неизвестно, так как, напомним, малые ядра у Intel не поддерживают Hyper-Threading.  Утечка также указывает ...

Raytheon сотрудничает с AMD для разработки многочипового процессора следующего поколения Это позволит системам иметь более высокую производительность, низкое энергопотребление и меньший вес

AMD подтверждает запуск APU Strix Point на базе Zen5 во второй половине 2024 года Процессоры APU Strix Point получат варианты с 40, 32, 24 и 20 графическими вычислительными блоками на архитектуре RDNA3.5.

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Не Xiaomi с ее электромобилем, но уже что-то: Nvidia помогла улучшить пикап Rivian Rivian Automotive представила обновленные версии своих полностью электрических пикапа и внедорожника R1 с улучшенной дальностью хода, производительностью и вычислительной мощностью. Эти обновления, представленные для 2025 модельного года, оснащены двумя процессорами Nvidia D...

Возможно, чип A18 от Apple превзойдет мощность процессора M4 в области нейросетей Согласно сообщениям в социальных сетях, грядущий процессор Apple A18, который будет использоваться в новых моделях iPhone, сможет превзойти по вычислительной мощности даже текущий флагманский чип M4 компании. Это позволит запускать мощные ИИ-модели непосредственно на устройс...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Функцию Recall в Windows 11 удалось запустить на старом ARM-устройстве Официально новая функция Recall в Windows 11 будет доступна только на устройствах с маркировкой Copilot+, которые оснащаются современными процессорами с блоком NPU, например, чипами линейки Snapdragon X. Однако энтузиаст под ником Albacore смог обойти это ограничение и запу...

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

На 50% быстрее GeForce RTX 4060 при той же цене. Представлена Sapphire Radeon RX 6750 GRE Black Diamond с 12 ГБ памяти Ассортимент Sapphire пополнился 3D-ускорителем Radeon RX 6750 GRE Black Diamond. Эта видеокарта в черном исполнении (на что указывает название) с немаленьким охладителем, в составе которого три больших вентилятора. Как и другие версии Radeon RX 6750 GRE новинка Sapphir...

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

Для производства GeForce RTX 4070 используют не только графический процессор AD104, но и AD103 Для этого потребовалось отключить около 42...43% вычислительных блоков.

Qualcomm представила графический процессор Adreno X1 Новая встроенная графика компании, входит в состав процессоров Qualcomm Snapdragon X для мобильных компьютеров на платформе Windows с архитектурой ARM.

Даже если взять оптимизированное под процессоры Intel ПО для искусственного интеллекта, новый Core Ultra 7 155H в половине случаев проигрывает Ryzen 7 7840U Сегодняшние тесты процессора Intel Core Ultra 7 155H под Linux показали, что там новинка Intel существенно уступает 15-ваттному Ryzen 7 7840U при большем энергопотреблении. Авторы Tom's Hardware решили отдельно протестировать Meteor Lake в задачах, связанных с ИИ, п...

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

TSMC запустила производство 3-нм чипов для компании Intel Процессоры Arrow Lake для настольных ПК и Lunar Lake для мобильных устройств будут использовать 3-нм техпроцесс TSMC.

Razer представила новый игровой ноутбук Blade 18 2024 с процессором Core i9-14900HX Blade 18 оснащен множеством инноваций, включая интерфейс Thunderbolt 5, 18-дюймовый дисплей с разрешением 4K и частотой обновления 200 Гц, процессор Intel Core i9 HX и графику NVIDIA GeForce RTX 4090

Новый iPhone 16 получит невероятно мощный чип Мобильный процессор M4, установленный в последних моделях iPad Pro с диагональю 11 и 13 дюймов, в настоящее время является самым мощным и эффективным чипом компании Apple. Кроме того, технологический гигант утверждает, что этот чип оснащён самым производительным нейропроцесс...

384-ядерный китайский процессор в 2,5 раза быстрее самого мощного серверного CPU AMD. Раскрыты параметры Sunway SW26010 Pro Китай довольно активно развивает собственные процессоры, причём для разных сегментов. Sunway SW26010 Pro, вероятно, один из самых впечатляющих.  создано DALL-E Это серверный процессор, который является старшим братом для CPU Sunway SW26010, вышедшего ещё в 2016 го...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Представлены процессоры Intel Core Ultra 100 Intel представила новые процессоры серии Core Ultra 100 на архитектуре Meteor Lake с применением техпроцесса TSMC N5/N6, которые предназначены для ноутбуков, портативных приставок и мини-компьютеров. Особое внимание производитель уделил графической подсистеме. Особенности…

Как рисовать мозаики типа «эйнштейн» На немецком «эйнштейн» звучит как «один камень». Один - «ein», камень - «Stain». Всем известно, что под этой фамилией жил один замечательный человек, и звали его ... Но в статье речь не о нём. Речь о математической задаче по поиску одной плитки, такой чтобы составленная из н...

Intel объявила финансовые результаты за 2023 год и сообщила о дальнейших планах Intel также представила изменения в организационной структуре бизнеса, направленные на интеграцию вычислительных систем и графики

Зачем Apple создала SoC M4 через полгода после выхода M3? Параметры новой платформы показывают, что её блок NPU в разы мощнее, чем в CPU Intel и AMD Как и утверждали недавние слухи, сегодня Apple представила новые iPad Pro на основе новой SoC M4. И она технически довольно любопытна.  Для начала стоит напомнить, что M3 дебютировала лишь осенью, и замена ей вряд ли была бы нужна... если бы не искусственный интел...

«Безумная производительность», «передовые технологии» и «больше игр за ваши деньги». Так AMD характеризует новейшую видеокарту Radeon RX 6750 GRE за 305 долларов Сегодня AMD официально представила 3D-карту Radeon RX 6750 GRE. У нее два варианта, которые не сильно отличаются по цене (305 и 325 долларов), но очень разнятся в плане характеристик. Базовая Radeon RX 6750 GRE с 10 ГБ памяти GDDR6 имеет 36 вычислительных блоков, 160-б...

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Графика процессоров AMD Strix Point сможет сравняться с RX 6400 и RTX 3050 В плане графики процессоры станут существенно мощнее.

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Процессор Samsung Exynos 2400 показали в бенчмарке Сегодня достаточно надёжный инсайдер поделился интересными подробностями о процессоре Exynos 2400, который, предположительно, будет использоваться в будущей серии смартфонов Galaxy S24. Правда, стоит сразу отметить, что чип будет задействован лишь в некоторых регионах, а не ...

AMD выпустит новые графические процессоры для ноутбуков RX 7700M/7800M на CES 2024 По данным TechPowerUp, AMD вскоре выпустит видеокарты для ноутбуков на базе графического процессора Navi 32. Речь идёт о моделях под названием RX 7700M и RX 7800M. До этого момента AMD выпустила различные графические процессоры для ноутбуков серии RX 7000, включая высок...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

В Китае задержался выход нескольких марок машин из-за вычислительных блоков Huawei Два крупных китайских автопроизводителя, Changan Auto и Chery Auto, а также менее крупная компания Seres, подали жалобы на компанию Huawei в связи с задержкой поставок своих автомобилей, на что повлияли проблемы с вычислительным блоком MDC 810.

Topton представила уникальную материнскую плату с необычным процессором Китайская компания Topton вдохнула новую жизнь в графику AMD Vega, представив материнскую плату для NAS с интегрированной графикой.

В России запустят контрактное производство квантовых процессоров В пресс-службе Министерства науки и высшего образования Российской Федерации сообщили, что МГТУ им. Н. Э. Баумана и ВНИИА им. Н. Л. Духова готовятся к запуску первого в России контрактного производства сверхпроводниковых квантовых процессоров. Это событие станет важным шагом...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Графика на уровне ПК. Honor 90 GT установит новую планку качества Компания Honor официально подтвердила, что смартфон Honor 90 GT, который уже доступен для предварительного заказа, будет доступен в трёх цветовых вариантах. Директор по маркетингу Honor Цзян Хайронг отметил, что Honor 90 GT задаст новый стандарт в качестве графики в моб...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

1-нм техпроцесс к 2027 году: Intel представила амбициозную дорожную карту Недавно Intel провела мероприятие Foundry Direct Connect, на котором были представлены новые интересные разработки в дорожной карте производства чипов и стратегии производства.

А ведь когда-то Intel делала вот такие странные процессоры с iGPU AMD и памятью HBM. Системная плата Topton N9 NAS с восемью RJ45 основана на CPU Core i7-8705G В 2018 году Intel неожиданно выпустила крайне необычные для себя процессоры линейки Kaby Lake-G, которые сочетали привычную процессорную часть и iGPU от компании AMD. Графические ядра этих процессоров были невероятно производительными, но эксперимент за рамки одной лине...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Игровой системный блок Thunderobot Warrior Turbo: относительно недорогой и функциональный компьютер c Intel Core i5-13400 и GeForce RTX 4070 Thunderobot Warrior Turbo — новая модель в ассортименте производителя, предлагающая высокую игровую производительность за не слишком большие деньги, насколько это вообще возможно в современных условиях, когда видеокарты уровня GeForce RTX 4070 гуманной ценой не отличаются. У...

NVIDIA выпускает HPC-модуль HGX H200 В ходе конференции SC23 компания NVIDIA представила новый вычислительный модуль HGX H200, ведущую вычислительную платформу ИИ. Этот модуль обещает заметный прирост в производительности генеративных ИИ благодаря новой архитектуре NVIDIA Hopper.

Qualcomm показала новые процессоры для Bluetooth-наушников: S3 Gen 3 и S5 Gen 3 Qualcomm представила следующее поколение своих аудиочипсетов — S3 Gen 3 и S5 Gen 3. Эти усовершенствования обещают улучшенное качество звука, повышенную вычислительную мощность и новые функции для аудиоустройств среднего и премиум-класса.

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Ryzen 7 8840U без труда кладёт на лопатки Core Ultra 7 155H, и речь не о CPU или GPU. AMD поделилась тестами блоков NPU Компания AMD снова хвастается производительностью блока NPU в своих мобильных процессорах Ryzen 8000, сравнивая их с новейшими Core Ultra.  AMD сравнила Ryzen 7 8840U с Core Ultra 7 155H в тестах, связанных с большими языковыми моделями. В чате Llama v2 APU Ryzen ...

Intel будет тяжело конкурировать с такими новинками AMD. Представлены мобильные процессоры Ryzen AI 300, которые стали лучше предшественников буквально во всём Кроме настольных процессоров Ryzen 9000 компания AMD сразу же представила и новые мобильные APU, чего обычно не делает. Новая линейка Strix Point называется Ryzen AI 300, то есть подтвердились все последние слухи о новом принципе именования процессоров.  По каким-...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Процессор Huawei Kirin 9000S отстает от оригинального Kirin 9000 В этом нет ничего удивительно, учитывая использование менее продвинутого 7-нм техпроцесса у нового процессора

ASRock и Asus рассекретили четыре новых APU AMD для настольных ПК. Линейку Ryzen 8000G (Hawk Point) возглавит 8-ядерный Ryzen 7 8700G Asus и ASRock обновили описание своих материнских плат для процессоров AMD: в перечне поддерживаемых APU прописались четыре новые модели линейки Ryzen 8000G. Они ещё пока не представлены официально – премьера ожидается в начале 2024 года на выставке...

Представлены китайские процессоры Montage Technology Jintide с количеством ядер вплоть до 48, внутри которых на самом деле скрываются CPU Intel Пока одни китайские компании разрабатывают собственные GPU, другие берут продукты глобальных гигантов и переделывают их в продукты для Китая. Компания Montage Technology представила линейку процессоров Jintide пятого поколения. Но это не собственная разработка Montage T...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

AMD проделала хорошую работу: 6-ядерный Ryzen 5 8500G обошел Ryzen 5 5600G на 36% в однопоточном тесте Появился первый результат теста процессора Ryzen 5 8500G семейства Hawk Point в Geekbench, и результаты хорошие. Особенно для CPU, который пока не представлен официально. В Geekbench 5 Ryzen 5 8500G набрал 1965 баллов в однопоточном тесте и 8768 баллов в многопоточном....

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Пока Intel отказывается от Hyper-Threading, AMD создаёт мобильных монстров. Компания подтвердила основные параметры новых APU Ryzen Strix Point Компания AMD подтвердила основные характеристики своих грядущих мобильных гибридных процессоров Strix Point.   Они выйдут в этом году и будут полностью новой разработкой. Процессорная часть будет представлена ядрами Zen 5, графическая — архитектурой RDNA 3+ ...

The Verge: Microsoft уверена, что Windows на Arm сможет победить Apple Компания Microsoft готовится к значительному скачку в мире вычислительной техники, представив на мероприятии в следующем месяце «ПК с искусственным интеллектом». Уверенность Microsoft в том, что она собирается бросить вызов MacBook Air от Apple, оснащенному процессором M3, о...

iGPU с частотой почти 3 ГГц. Настольный Ryzen 5 8600G впервые засветился в бенчмарке Настольный процессор Ryzen 5 8600G, который вместе с остальными моделями линейки будет представлен в ближайшее время, засветился в Geekbench.   Благодаря ПО мы можем подтвердить, что APU содержит шесть процессорных ядер с частотой до 5 ГГц и iGPU, который определя...

Анонсированы китайские процессоры Zhaoxin KX-7000 – 7-нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Китайская компания Zhaoxin представила 8-ядерный процессор KaiXian KX-7000, предназначенный для настольных ПК. Чип доступен в двух версиях, которые отличаются только диапазоном рабочих частот. ОсобенностиZhaoxin KX-7000 производятся по 7-нм техпроцессу и похож на Intel…

Официально: новые устройства Surface и новые функции Windows 11 представят 21 марта Microsoft анонсировала мероприятие под названием «New Era of Work», которое будет посвящено новым устройствам линейки Surface, грядущему функциональному обновлению для Windows 11 и возможностям ИИ-помощника Microsoft Copilot. Конференция состоится 21 марта в 19:00 по москов...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

В стремлении предоставить более быстрый NPU производители процессоров могут урезать ядра и графику Нейронные блоки занимают часть кристалла, которую можно было бы использовать для большего количества ядер или более производительной графики.

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Tiny Corp готовит отдельные вычислительные системы ИИ на базе GPU AMD и NVIDIA Стартап Tiny Corp., основанный Джорджем Хотцем, решил отказаться от использования графических процессоров AMD Radeon в своей вычислительной системе TinyBox AI из-за проблем с прошивкой.

Enermax представила блок питания на 2400 Вт В последнее время процессоры нового поколения, да и видокарты тоже, потребляют просто безумные объёмы энергии, что негативно сказывается на сложности в процессе сборки компонентов системы. Ведь если процессор потребляет до 400 Вт энергии, а у Intel такие имеются, а видеокарт...

AMD представила три новых процессора Ryzen Zen 3+ без встроенной графики Компания AMD представила три процессора Ryzen 7000 серии Rembrandt для игровых ноутбуков и компактных ПК без интегрированной графики.

В сети появилась фотография процессора Intel Meteor Lake с двумя CPU-плитками Очевидно, что Intel отказалась от двухплиточного дизайна, но это была бы интересная модель процессора.

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Российская компания ICL открыла в Татарстане завод по монтажу материнских плат Группа компаний ICL открыла завод по поверхностному монтажу электронных печатных плат и производству вычислительной техники на территории особой экономической зоны «Иннополис» в Лаишевском районе Татарстана. Сейчас мощности производства составляют 300 тыс. м...

Представлен первый игровой ноутбук Red Magic – металлический корпус и световые эффекты RGB-подсветки Бренд Red Magic представил свой первый игровой ноутбук – Red Magic Gaming Laptop 16 Pro. Ноутбук демонстрируется публике на выставке MWC 2024 в Шанхае.  В основе ноутбука лежит процессор Intel Core i9-14900HX и графика GeForce RTX 4070 (8 ГБ GDDR6). Он заклю...

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

Функция Automatic Super Resolution не является эксклюзивной для процессоров Qualcomm Qualcomm сообщила, что функция Automatic Super Resolution для Windows 11 является разработкой Microsoft и никак не связана с технологией Snapdragon Game Super Resolution. Это означает, что функция не является эксклюзивной для устройств на базе процессоров от Qualcomm и в бу...

ASUS анонсировала игровые ноутбуки TUF Gaming с процессорами AMD Ryzen AI Новые ноутбуки будут представлены моделями с дисплеями диагональю 14 и 16 дюймов, а также получат графику RTX 40.

LG сомневается в успехе новых процессоров Intel Глава IT-отдела компании LG Electronics Юн-сок Ли посетил выставку Computex 2024, где изучал новые продукты и ответил на вопросы нескольких журналистов. И обсуждая график выпуска продуктов компании Intel эксперт сделал несколько интересных заявлений о новых процессорах покол...

iPhone 16 не получит процессор с индексом Pro В этом году компания Apple официально представила новую линейку смартфонов, во флагманских моделях которой используется новый мобильный процессор A17 Pro. Данный процессор обладает достаточно внушительной производительностью, но пока что он используется только в самых дороги...

В сеть утекли предположительные характеристки Surface Laptop 6 на базе Snapdragon X Уже в следующем месяце Microsoft представит ноутбук Surface Laptop 6 на базе ARM-процессоров Snapdragon X Elite в качестве версии для потребителей. Напомним, что модели для бизнеса по-прежнему оснащаются процессорами Intel, поскольку корпоративный сегмент не готов к экспери...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

Интегрированное графическое ядро APU AMD Strix Halo сможет тягаться с RTX 4070 Laptop. Появились подробности и тесты процессора Позже в этом году AMD выпустит мобильные процессоры Strix Halo, которые будут сильно отличаться от всего остального на рынке. И сегодня у нас есть подробности об этих APU.  Stix Halo будут включат три чиплета: два процессорных и один чиплет SoC. Каждый чиплет CPU б...

Fujitsu разрабатывает процессор Monaka для ускорения вычислений искусственного интеллекта и ЦОД Fujitsu активно работает над созданием нового процессора под названием Monaka, разработанного для усиления вычислительных возможностей в области ИИ, высокопроизводительных вычислений и ЦОД

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Позже, чем для CPU Intel, и почему-то не для старых Ryzen. AMD работает с Microsoft над добавлением мониторинга блока NPU в диспетчер задач Windows Современные мобильные процессоры AMD уже имеют аппаратные блоки для работы с ИИ. И вскоре каждый желающий сможет оценить загрузку этого блока прямо в Windows.  Как сообщается, компания работает с Microsoft над тем, чтобы добавить окно мониторинга активности NPU в ...

Это самый сложный и современный чип китайской разработки. Nio представила SoC Shenji NX9031 с 50 млрд транзисторов и 32 ядрами Пока компания Huawei вместе со SMIC привлекают внимание их 7-нанометровой SoC Kirin и слухами о работе над 3-нанометровыми чипами, китайская компания Nio, которая к полупроводникам в целом отношения не имеет, создала собственный чип по техпроцессу 5 нм.  Однокрист...

Новые MacBook Pro получат более быстрые порты Thunderbolt Новые модели планшета iPad Pro с диагональю дисплея в 11 и 13 дюймов оснащены новейшим процессором M4 от Apple, который массово производится по второму поколению 3-нм технологического процесса компании TSMC. Это значительное достижение в области производства полупроводниково...

Представлен Huawei MateBook D16 нового поколения. Это 16-дюймовый ноутбук массой 1,68 кг с Core i9 в топовой конфигурации Huawei представила в Китае новое поколение мобильных компьютеров MateBook D16. Для модели с 16-дюймовым экраном масса невелика – всего 1,68 кг, при этом внутри в топовых версиях разместилась аккумуляторная батарея емкостью 70 Вт·ч. Но дискретной графики нет...

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

Замечено первое фото нового процессора AMD Ryzen 9000 для настольных ПК Ожидается, что предстоящие процессоры AMD серии Ryzen 9000 для настольных ПК на базе новой микроархитектуры Zen 5 выйдут по графику и будут выпущены не позднее конца года.

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Intel представила видеокарту Arc 580 – это конкурент Radeon RX 6600 и RTX 3050 Intel наконец-то выпустила дискретную видеокарту Arc A580. Карта сочетает в себе все современные функции графики Intel Arc A-серии для захватывающих игр и создания великолепного контента. ОсобенностиIntel Arc A580 оснащён 24 ядрами Xe и блоками трассировки лучей, а…

AEWIN представила SCB-1942, флагманскую серию с двумя процессорами Intel 5-го поколения Xeon CB-1942A представляет собой 2U сетевую вычислительную платформу с 16 слотами памяти DDR5 и 8 слотами расширения PCIe 5.0

Китай хочет заполучить 300 эксафлопс вычислительной мощности уже к 2025 году Китай хочет уже к 2025 году повысить свою вычислительную мощность на 50%. В данном случае речь идёт о суммарных вычислительных мощностях всех суперкомпьютеров в стране.  Если у Китая это удастся, речь будет идти примерно о 300 эксафлопс. На текущий момент Китай ра...

Samsung Exynos 1480 – чип среднего класса на базе графики AMD Не так давно компания Samsung представила смартфон Galaxy A55 на базе новейшего процессора Exynos 1480. Во время презентации подробности о чипсете раскрыты не были, теперь де корейский производитель предоставил полную информацию о новой SoC. ОсобенностиExynos 1480…

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

До 288 ядер и TDP до 500 Вт — это новые процессоры Intel. Xeon 6 наконец-то перегоняют AMD Epyc по количеству ядер Кроме мобильных процессоров Lunar Lake компания Intel также представила и серверные Xeon нового поколения, которые будут конкурировать с AMD Epyc поколения Turin, представленными два дня назад.  Это поколение весьма важно для Intel, так как впервые с момента выход...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Топовые системные платы для процессоров Ryzen 9000 будут весьма дорогими. Всё из-за USB4 со скоростью 40 Гбит/с Компания AMD в этом году выпустит новые процессоры на основе архитектуры Zen 5. Согласно свежим данным, топовые системные платы для таких CPU будут весьма дорогими.  В частности, платы на основе чипсета X870E будут в обязательном порядке оснащены портами USB4 со с...

AMD представила процессоры Ryzen AI 300: больше ядер, обновлённая графика, NPU для Copilot+ PC Процессоры получили мощные NPU XDNA 2, графику RDNA 3.5 с количеством CU до 16.

[Перевод] Учёные собрали рабочий компьютер с использованием мозговой ткани Не существует компьютера, даже отдалённо похожего на человеческий мозг по мощности и сложности. Комочки ткани, расположенные в нашем черепе, способны обрабатывать информацию в таких объёмах и с такой скоростью, что вычислительная техника едва может к этому приблизиться.Ключо...

Мини-ПК Mechrevo imini S710 на Ryzen 7 8845H оценили в $410 Компания Mechrevo выпустила в китайскую продажу очередной интересный мини-ПК, получивший название imini S710. Новинку оснастили алюминиевым корпусом объемом 0,5 литра и массой 420 грамм, 8-ядерным 16-поточным процессором AMD Ryzen 7 8845H с тактовой частотой до 5,1 ГГц и гра...

Компания Loewe представила телевизор Stellar с бетонным корпусом и 4К Увы, версии с плиткой нет.

Замена Lada Granta получит галогеновый свет: появилось изображение блок-фары Lada Iskra В базе Федерального института промышленной собственности (ФИПС) появились новые изображения комплектующих автомобиля Lada Iskra. В этот раз нам показали блок-фару перспективной подели. Как пишет «Автопоток», судя по виду, ближний и дальний свет в них б...

Обнаружен процессор Intel Lunar Lake с 8 ядрами произведеный по техпроцессу 18A с графическим процессором на базе Battlemage

Micron Technology объявила о начале серийного производства памяти типа HBM3E HBM3E (High Bandwidth Memory 3E) будет использоваться в графических процессорах NVIDIA H200 Tensor Core

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

AMD Radeon RX 7900M могут представить 19 октября вместе с процессорами Ryzen Threadripper 7000 Самая производительная дискретная графика AMD RDNA 3 для ноутбуков.

Встроенная графика Ryzen 7 8700G не смогла обойти GeForce GTX 1060 по производительности Как показали тесты, GeForce GTX 1060 даже спустя 8 лет после выхода оказывается быстрее встроенной графики на процессоре Ryzen 7 8700G

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Новые процессоры MediaTek будут иметь графику NVIDIA Согласно последним новостям, компания NVIDIA лицензировала свои графические процессорные ядра (GPU IP) фирме MediaTek для использования в следующем поколении автомобильных процессоров

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

AMD представила процессоры Ryzen 5 7235H и Ryzen 7 7435H Особенностью данных моделей на архитектуре Zen 3+ является отсутствие встроенной графики

AMD представила мобильные процессоры Ryzen AI 9: тотальное превосходство над Snapdragon X Elite и x86-решениями Intel Официальные графики с тестами пока впечатляют.

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

Cooler Master представил безвентиляторный ПК на Intel Core i9−12900K Cooler Master представила на выставке Computex 2024 несколько решений, в том числе полностью безвентиляторную систему на базе Intel Core i9−12900K. В демонстрации использовался безвентиляторный блок питания X Silent Edge Platinum 850 и прототип пассивного кулера. Им удалось ...

TSMC приступила к выпуску Intel Core Ultra 200V (Lunar Lake) по 3-нм техпроцессу В составе процессоров Lunar Lake будет iGPU на новой графической архитектуре Xe2-LPG.

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Call of Duty Mobile Warzone протестировали на флагмансих смартфонах Недавно в релиз отправили новую игру Call of Duty Mobile Warzone на iOS и Android, а это значит, что теперь можно провести подробное сравнение Apple iPhone 15 Pro Max, флагмана Samsung Galaxy S24 Ultra и топового геймерского смартфона ASUS ROG Phone 8 Pro. Издание Dame Tech ...

Замечен первый портативный игровой ПК с процессором Intel Lunar Lake — его представят на Computex Интегрированная графика Battlemage в этих процессорах, как ожидается, обеспечит значительный прирост производительности.

Microsoft представила новые ускорители для доминирования в области ИИ Microsoft обнародовала свое «секретное оружие»: ИИ-ускоритель Azure Maia 100 и процессор Azure Cobalt 100. Ускоритель Maia, созданный для совершенствования искусственного интеллекта, оснащен 105 миллиардами транзисторов и предназначен для больших языковых моделей, таких как ...

Это RedmiBook 16 2024. Xiaomi опубликовала изображение нового недорогого ноутбука Redmi сегодня не только опубликовала изображения флагмана Redmi K70 Pro в черном и белом, но также показала тизер нового ноутбука – RedmiBook 16 2024. Его представят 29 ноября вместе с линейкой Redmi K70. Redmi указывает на три особенности ноутбука. Во-первых, он...

Через несколько лет большинство компьютеров на рынке будет с искусственным интеллектом Искусственный интеллект активно захватывает всё новые рынки. Согласно свежим прогнозам, в ближайшие годы большая часть ПК будет иметь поддержку ИИ.  создано DALL-E Аналитики IDC прогнозируют, что уже к 2027 году 60% всех поставляемых ПК будут поддерживать ИИ на ап...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

DGX SuperPod от NVIDIA обеспечит работу нового вычислительного комплекса с ИИ Суперкомпьютер NVIDIA с искусственным интеллектом будет использоваться правительственными учреждениями США для исследований в области моделирования климата, здравоохранения и кибербезопасности.

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

В России создали уникальные диэлектрики для космической аппаратуры Холдинг «Росэлектроника» госкорпорации Ростех освоил производство полимерных диэлектриков, которые применяются в электронных блоках для изоляции микросхем от воздействия внешних факторов. Об этом рассказали в пресс-службе госкорпорации.  Иллюстрация: &...

ADM представила бюджетные игровые процессоры Ryzen 7 8700G, Ryzen 5 8600G, Ryzen 5 8500G и Ryzen 3 8300G. Один Ryzen 7 8700G способен заменить связку Core i5-13400F и GeForce GTX 1650 Сегодня AMD официально представила процессор семейства Hawk Point в рамках линейки Ryzen 8000. Устройств четыре: Ryzen 7 8700G, Ryzen 5 8600G, Ryzen 5 8500G и Ryzen 3 8300G. Ryzen 7 8700G имеет 8 вычислительных ядер Zen 4 частотой до 5,1 ГГц и поддерживает многопоточно...

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

AMD выпускает самый большой и сложный в мире GPU со 192 ГБ памяти и гигантский APU со 128 ГБ. На рынок выходят чипы Instinct MI300A и Instinct MI300X Монструозные специализированные чипы Instinct MI300A и Instinct MI300X компания AMD представила ещё в июне, но лишь сейчас она объявила о доступности этих продуктов, а заодно раскрыла больше деталей.  Напомним, Instinct MI300X представляет собой ускоритель на осно...

Вихрь времени. История уникального компьютера Whirlwind История вычислительной техники помнит разные компьютеры: и самые большие, и рекордно маленькие, и чрезвычайно мощные, и крайне медленные. В этом ряду электронная вычислительная машина Whirlwind — это компьютер с удивительной судьбой, проектировавшийся с одной целью, а испол...

Новый Ryzen 7 8700G получил мощную встроенную графику для игр, которая выдает 60+ fps в Cyberpunk 2077 Геймерский компьютер за копейки - это реально, что и доказали эксперты XCOM-SHOP.RU, собрав ПК для игр дешевле 30 000 рублей. Мы отказались от дискретной видеокарты, а в качестве графики задействовали видеоядро процессора Ryzen 5 5600G. Единственный минус получившегося сетап...

Exynos 2400 представлен - новый процессор Samsung для ... Компания Samsung продемонстрировала новый процессор Exynos 2400, который будет использоваться в базовых моделях линейки Galaxy S24

Блок PPU от компании Flow увеличит производительность процессоров на два порядка Интеграция новой технологии в состав любого современного процессора сделает его быстрее в сто раз

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Представлен первый ПК на основе процессоров AMD Ryzen 8000. Планшет Minisforum V3 будет очень большим Несмотря на то, что до официального анонса процессоров Ryzen 8000 ещё явно минимум несколько месяцев, компания Minisforum уже представила первый продукт на основе таких CPU.  Речь о планшете, который пока что называется Minisforum V3, но это вряд ли окончательное ...

Вычислительный драйвер ядра Linux от AMD готовят к графическим процессорам RDNA 4 Когда новые видеокарты AMD появятся, пока непонятно.

Три литра объёма в минималистичном металлическом корпусе и новейшие APU Ryzen. Представлен мини-ПК Gigabyte Metal Gear Plus ITX Компания Gigabyte представила мини-ПК с длинным названием Metal Gear Plus ITX. Устройство опирается на самые новые процессоры AMD.  Сердцем служат APU Ryzen 8000G, и в зависимости от модели процессора цена составляет от 620 до 810 за базовые конфигурации. Что инте...

Microsoft, так нужны новые процессоры или нет? Функцию Recall из Windows 11 для Copilot+ PC запустили на процессоре Arm, но это был не Snapdragon X Компания Microsoft уже показала некоторые функции Windows 11, которые представили формально в виде эксклюзивов для компьютеров Copilot+, то есть оснащённых процессорами с блоками NPU. Подробностей о работе этих функций на других CPU не было, но теперь они появились от с...

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Apple объяснила, почему функции Apple Intelligence не поддерживаются даже на младших iPhone 15 Функции Apple Intelligence, как известно, будут работать только на самых свежих iPhone и iPad/Mac на основе платформ Apple M. Изначально было не очень понятно, почему ситуация именно такова, ведь блок NPU в Apple M1 по производительности сопоставим с блоком NPU у Apple ...

Представлены процессоры AMD Ryzen Pro 8040: мощнее Core Ultra, а потребляют меньше AMD представила линейку процессоров Ryzen Pro 8040 для бизнес-ноутбуков, мобильных рабочих станций и т.д. В линейке восемь моделей, все с 6 или 8 ядрами. От обычных процессоров линейки Ryzen 8040 они не отличаются ничем, кроме поддержки ряда специализированных технолог...

Вот какой получился бы процессор, если бы Intel отказалась от блока NPU. Появились качественные схематические изображения Lunar Lake Процессоры Intel Lunar Lake выйдут ближе к концу года, но постепенно Intel раскрывает о них новые подробности. К примеру, появились качественные изображения таких CPU, на которых видно все блоки на кристаллах.   В отличие от Meteor Lake, Lunar Lake состоит всего и...

Ни у кого сейчас нет ПК, соответствующего этим требованиям. Для локального запуска Copilot компьютеры класса AI PC будут должны иметь NPU мощностью 40 TOPS Мало того, что компании уже сравнивают свои процессоры по производительности блоков NPU, так вскоре этот показатель может стать ещё и ограничивающим фактором в Windows. Intel на мероприятии Intel AI Summit рассказала, что у ИИ Windows Copilot будет требование к производ...

Новые OLED-телевизоры LG получат новейший чип Alpha 10. Его будут применять и в умной бытовой технике LG Как пишет IT Home со ссылкой на Flatpanelshd, процессор OLED-телевизора LG 2024 года был значительно модернизирован и получил название Alpha 10. В 2018 году LG выпустила процессор Alpha 9 для OLED-телевизоров. Эта серия процессоров с годами модернизировалась и достигла ...

Мощные GPU в Китае: полное самообеспечение к 2027 году. Реально ли? Правительство Пекина планирует предоставить субсидии компаниям, которые покупают чипы внутренних производителей с целью «ускорения поставки управляемых интеллектуальных вычислительных ресурсов». Особенное внимание уделяется процессорам GPU, на производство и продажу которых ...

Представлен доступный игровой ПК Lenovo Cobalt Co-45 за $560 Представлен игровой ПК Lenovo Cobalt Co-45, который предлагается по цене от 560 долларов в Китае. Система оснащена процессором Intel Core i5-12400F и видеокартой Nvidia GeForce 1660Ti 6 ГБ, при этом она работает под управлением Windows 11. Процессор i5-12400F представля...

Запас хода 1200 км, два чипа Dragon Eagle-1 и розетка для инструментов мощностью до 3,3 кВт. Представлен Lynk & Co 08 EM-P в версии 120 Long Range Plus Представлен новейший кроссовер Lynk & Co 08 EM-P в версии 120 Long Range Plus, который имеет запас хода на чистом электричестве 120 км и общий запас хода более 1200 км. Он стоит 24 800 долларов в Китае. Автомобиль использует концепцию дизайна, основанную на концепт...

Huawei может производить собственные чипы на 5 нанометрах Китайская компания SMIC, партнёр Huawei в области производства полупроводниковой продукции, недавно сообщила об успешной разработке 5-нанометрового технологического процесса без использования оборудования EUV (крупнейшая в мире компания по производству аппаратуры для данного...

Это будет базовый процессор для игровых ноутбуков нового поколения. 10-ядерный Core i5-14450HX засветился в Geekbench В базе Geekbench засветился пока еще не представленный официально ноутбук Colorful M15 24. Его особенность в процессоре: в основе аппаратной платформы используется пока еще не представленный официально Core i5-14450HX. У этого CPU 6 больших ядер и 4 малых. Максимальная...

Apple разрабатывает совершенно новые процессоры Сегодня появилась информация о том, что компания Apple активно работает над расширением производственных мощностей по технологии упаковки CoWoS, которая будет использоваться в ближайшем будущем для чипов нового поколения. Кроме того, инсайдеры уверены в том, что технологичес...

Представлен Lenovo ThinkBook 16+ 2024: Ultra 5 125H, GeForce RTX 4060 Laptop, 32 ГБ ОЗУ за 1270 долларов Lenovo представила ноутбук ThinkBook 16+ 2024 модельного года. В устройстве используются процессоры Intel Core Ultra – Ultra 5 125H и Ultra 7 155H. Интересно, что в топовой версии с дискретным ускорителем используется младшая модель процессора. В базово...

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Игровой компьютер массой всего 420 граммов с 8-ядерным Ryzen 7 8845H — за 410 долларов. Mechrevo imini S710 поступил в продажу В Китае стартовали продажи очередного мини-компьютера Mechrevo. Новинка, названная imini S710, выполнена в алюминиевом корпусе объемом всего 0,5 литра, масса составляет 420 граммов. Внутри imini S710 разместился довольно мощный 8-ядерный процессор Ryzen 7 8845H. d...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Apple не стала заморачиваться и создаёт для себя облачные серверы ИИ на основе обычной SoC M2 Ultra. Позже начнут использовать M4 Компания Apple, возможно, не будет заморачиваться с разработкой собственного специализированного процессора для облачных серверов. Или по крайней мере такой CPU выйдет нескоро, так как Apple будет использовать обычные потребительские SoC.  фото: Apple Как сообщает...

AMD представила процессоры Ryzen Embedded 8000 с NPU блоками для встраиваемых систем Совокупная производительность в ИИ задачах может достигать 39 TOPS.

Intel, а производительность самих процессоров расти будет? Компания обещает большой прирост, но пока только для блоков искусственного интеллекта Производители процессоров уже стали акцентировать внимание не на производительности непосредственно процессорной части, а на блоках искусственного интеллекта. И дальше, видимо, акценты будут смещаться лишь сильнее. К примеру, глава Intel заявил, что CPU линейки Panther ...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Представлена однокристальная система Snapdragon 7 Gen 3. Первый смартфон на ее базе – Honor 100 Сегодня Qualcomm официально представила однокристальную систему Snapdragon 7 Gen 3 для смартфонов среднего уровня. Новинка производится по техпроцессу 4 нм и является преемницей прошлогодней Snapdragon 7 Gen 1. Производительность центрального процессора Snapdragon 7 Ge...

Представлен крошечный мини-ПК со встроенным экраном диагональю 5,7 дюйма Meenhong представила JX2, мини-ПК объемом четверть литра, для которого требуется адаптер питания мощностью всего 30 Вт. Его отличительной особенностью является встроенный 5,7-дюймовый дисплей с поддержкой мультитач, разрешением 1920 x 1080 пикселей. Мини-ПК получил про...

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

Apple ARM и Intel Nova Lake станут первыми 2-нм чипами, производимыми на TSMC В ближайшие годы Apple и Intel вступят в новую эпоху вычислительной технологии, благодаря разработке и внедрению 2-нанометровых процессоров в партнерстве с TSMC

Моторы «Евро-5» вернутся в УАЗ «Патриот» и «Пикап» в 2024 году. Они получат новые блоки управления После прошлогоднего кризиса УАЗ начал устанавливать на свои автомобили двигатели «Евро-2», что допускается сейчас техрегламентом. Однако эти моторы не задержатся надолго под капотом отечественных внедорожников: УАЗы получат двигатели «Евро-5» уже...

В этот день Core Ultra сменят Core i. Intel анонсировала мероприятие AI Everywhere, на котором представит процессоры Meteor Lake Компания Intel уже сообщала о том, что представит процессоры Meteor Lake 14 декабря, но лишь сейчас она анонсировала соответствующее мероприятие.  Называется оно AI Everywhere, и название явно говорит нам о том, что Intel будет делать большой упор на ИИ при анонсе...

Представлен ноутбук MSI Titan 18 HX с 18-дюймовым экраном Mini LED и уникальным трекпадом за $5000 MSI представила несколько новых ноутбуков на выставке CES 2024, среди которых выделяется Titan 18 HX A14V. Titan 18 HX оснащен 18-дюймовым дисплеем Mini LED разрешением 3840 x 2400 пикселей, с частотой обновления 120 Гц, новым процессором Intel Core i9 14900HX 14-г...

Qualcomm представила новые чипы для аудио с ИИ Qualcomm только что официально представила третье поколение своих чипов для аудио — речь идёт о представителе среднего класса Qualcomm S3 Gen 3 и флагманском S5 Gen 3. Они приходят на замену моделям Gen 2, которые были впервые анонсированы в 2022 году. Оба чипа предлагают бо...

HUAWEI представила новый 5-нм чип Kirin 9006C Компания стремительно набирает обороты в производстве собственных процессоров для мобильных устройств.

Встроенная графика AMD Radeon 780M на 15% быстрее Radeon 760M и сравнялась с GTX 1650 Видеокарта с 12 блоками RDNA 3 показала улучшение производительности на 13-15% по сравнению с Radeon 760M, имеющей 8 блоков.

По прогнозам, графика в APU AMD Strix Point с 16 блоками CU сможет приблизиться к мобильной RTX 3050 Это может быть значительным обновлением для портативных игровых консолей.

Cooler Master готовит блок питания на 2800W, способный работать сразу с 4-мя картами RTX 4090 Только на графику выделено 1800W мощности.

Ни Core i9-14900K, ни новые Ryzen 8000 и близко не подойдут к этим монстрам. Рассекречены все модели процессоров Ryzen Threadripper PRO 7000WX 16-ядерный Ryzen 9 7950X и 24-ядерный Core i9-14900K покажутся игрушками на их фоне. 19 октября AMD представит Threadripper Pro 7000 с 96-ядерным CPU во главе Анонс процессоров Ryzen Threadripper PRO 7000WX должен состояться 19 октября, но уже сегодня характеристики вс...

GS Group: российским брендам больше нет смысла размещать заказы на азиатских ODM-площадках Серийное производство вычислительной техники по модели ODM стало новым направлением деятельности холдинга.

Материнская плата MSI Z790 PROJECT ZERO PLUS использует память CAMM2 для экстремального разгона На выставке Computex 2024 была представлена новейшая материнская плата MSI Z790 PROJECT ZERO PLUS, предназначенная для экстремального разгона процессоров Intel. В ней используется технология CAMM2.

Lenovo выпустила в Китае новый графический процессор Radeon RX 6600 LE. Компания Lenovo представила новый графический процессор Radeon RX 6600 LE, разработанный собственными силами. Новинка будет использоваться в настольных ПК Lenovo GeekPro 2023 и будет конкурировать с Xbox Series по цене.

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Intel выпустила WHQL драйвер для iGPU процессоров Core 14 и поддержкой нового Prince of Persia Драйвер поддерживает графику процессоров Raptor Lake-S и HX Refresh

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

OM System OM-1 II обещает усовершенствованную фотографию с новыми вычислительными возможностями OM System OM-1 II, новый флагман в линейке камер OM System, обещает принести усовершенствования в вычислительной фотографии, включая улучшенную стабилизацию изображения, расширенные возможности автофокуса и поддержку 14-битного RAW. Ожидаемая дата выпуска - 30 января 2024 го...

AMD создала крутой 12-ядерный процессор, а Asus на его базе построит крутой ноутбук. Характеристики AMD Ryzen AI 9 HX 170 и Asus Vivobook S 16 OLED Asus неожиданно рассекретила один из топовых процессоров AMD нового поколения на архитектуре Zen 5 (семейства Strix Point) — Ryzen AI 9 HX 170. Характеристики CPU перечислены в описании ноутбука Vivobook S 16 OLED (M5606). Самое интересное — «вычислит...

Windows 11 получит новый вариант обоев Bloom Уже завтра, 20 мая 2024 года, состоится долгожданная презентация Microsoft, на которой будет представлена Windows 11 версии 24H2, новые функции на базе искусственного интеллекта, а также новые устройства на базе ARM-процессоров линейки Snapdragon X, включая Surface Pro 10 и...

Supermicro представил готовые решения SuperCluster для ИИ с жидкостным охлаждением   Компания Supermicro, Inc., поставщик комплексных ИТ-решений для ИИ, облака, хранения данных и 5G/Edge, представил готовый к развертыванию центр обработки данных с жидкостным охлаждением для ИИ, рассчитанный на облачные решения, которые вместе с вычислительными блоками SuperC...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Huawei продала мобильных процессоров на 6 миллиардов долларов Релиз нового процессора Kirin 9000S в прошлом году, а затем и его улучшенной версии Kirin 9010, который используется в новейшей серии смартфонов Huawei Pura 70, помог компании вернуться на рынок смартфонов после достаточно длительного простоя. По данным инсайдеров из Азии, п...

Процессор Zilog Z80 спустя почти 50 лет решили снять с производства Мир технологий прощается с легендой. Спустя почти 50 лет компания Zilog прекращает производство процессора Z80 — микропроцессора, на котором работало бесчисленное множество консолей, аркадных автоматов и встраиваемых устройств.

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Игровой ноутбук MSI Titan GT77 HX 13VI-096RU: первый в мире портативный ПК с экраном 4K Mini-LED У этого флагмана MSI топовые процессор и видеокарта, очень эффективная четырехвентиляторная система охлаждения, механическая клавиатура и IPS-экран с разрешением 4K и подсветкой Mini-LED. На системной плате 3 слота M.2 и 4 слота для оперативной памяти. Из интерфейсных разъем...

Сбербанк уже выпускает собственные серверы Сбербанк начал заниматься разработкой и производством собственных серверов, о чем сообщили «Ведомостям» три источника из компаний-производителей вычислительной техники, а также подтвердил представитель банка. Это оборудование используется для внутренних целе...

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Qualcomm не закрыла тему заказа в производство чипов компании Samsung По слухам, её интересует 2-нм техпроцесс южнокорейского подрядчика.

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Вся линейка iPhone 16: макеты четырех новых смартфонов показали на живых фото Производители аксессуаров уже получили в распоряжение макеты iPhone 16, iPhone 16 Plus, iPhone 16 Pro и iPhone 16 Pro Max, которые используются для производства защитных чехлов и стекол/пленок. На снимках хорошо видно, что два объектива iPhone 16 и iPhone 16 Plus распо...

В России наращивают производство материнских плат на процессорах Intel и AMD предыдущих поколений — на новых делать нет возможности Производители электроники в России наращивают инвестиции и производство материнских плат. Основная часть продукции ориентирована на чипы Intel и AMD предыдущих поколений, о чем сообщает «Коммерсантъ» со ссылкой на представителей отрасли. Компания GS Gro...

Видеокарта с 16 ГБ памяти за минимальные деньги. Представлена Radeon RX 7600 XT: она стоит 330 долларов и обходит GeForce RTX 4060 Сегодня, в преддверии официального открытия выставки CES 2023, AMD выкатила много бюджетного «железа». Так, представлены недорогие процессоры Ryzen 8000G, дебютировали бюджетные Ryzen 5600GT и Ryzen 5 5500GT для платформы AM4, состоялась официальная пре...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

AMD анонсировала встраиваемые процессоры Ryzen 7000 Как и положено процессорам данной серии, они построены на архитектуре Zen 4, имеют встроенную графику RDNA и специально оптимизированы для работы в промышлености

10нм техпроцесс и 6 ГГц: Intel ставит новые рекорды производительности чипов. Что нового? На Хабре не так редко публикуются новости и статьи о разгоне «железа». В частности, профессиональные оверклокеры используют специализированные оборудование, жидкий азот и другие ухищрения, чтобы добиться от процессоров небывалой производительности. Компания Intel ставит р...

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

В новых версиях HWiNFO уже работают над поддержкой процессоров и графики Intel следующих поколений Arrow Lake, Panther Lake, а также Battlemage и Celestial.

APU Strix Halo получат до 16 ядер Zen5 и графику RDNA3.5 Новые процессоры получат значительный рост производительности и графику, сопоставимую с RX 7600 XT

Новый суперчип NVIDIA GB200 может стоить до 70 тыс долларов, а серверный шкаф NVL72 — около 3 млн В DGX NVL72 используется 72 графических процессора B200 и 36 процессоров Grace.

Стартовало производство ноутбука Aquarius Cmp NE355, совместимого с российскими ОС Российский разработчик компьютерного оборудования «Аквариус» представил ноутбук Aquarius Cmp NE355. Его особенностью является совместимость с основными отечественными операционными системами: Astra Linux, «Альт», «Ред ОС» и «Рос...

У Tesla есть процессор размером с iPad, который потребляет 15 кВт мощности. Стали известны подробности нового чипа Dojo У компании Tesla есть собственный суперкомпьютер Dojo, который уникален тем, что основан на чипах собственной разработки Tesla. Но компания уже занимается процессорами Dojo нового поколения, и это решение гигантских размеров.  Фактически новый чип Dojo — это...

Бренд GMNG официально представил сразу три блока питания Отличные новости для тех, кто собирает игровой или рабочий компьютер — сегодня в продажу официально поступили новые блоки питания от российского бренда игровых устройств GMNG. Всего было представлено три модели — мощностью 850 Вт, 1000 Вт и 1200 Вт. Стоит отметить, что эти б...

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

Стоит ли в 2024 году покупать смартфоны Samsung на процессорах Exynos Сегодня, когда подавляющее большинство Android-устройств работает на процессорах MediaTek или Qualcomm Snapdragon, а HUAWEI вот уже несколько лет находится под санкциями США, Samsung остается единственным брендом на глобальном рынке, который выпускает смартфоны на собственн...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Графика Intel догонит Nvidia RTX 40 по эффективности генерации кадров в играх Intel намерена укрепить свои позиции на игровом рынке благодаря новой технологии генерации кадров ExtraSS для XeSS, представленной на выставке SIGGRAPH Asia 2023. Позиционируемая как конкурент Nvidia DLSS 3 и AMD FSR 3, технология XeSS представляет собой аппаратно-агностичес...

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

MediaTek представит Dimensity 9400 уже в этом году Если верить информации инсайдеров, новый процессор Dimensity 9400 от MediaTek должен быть анонсирован уже в этом году, и похоже, что MediaTek планирует вести борьбу с Snapdragon 8 Gen 4 в плане производительности своего флагманского процессора нового поколения. Согласно инфо...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Интегрированное графическое ядро, которое мощнее PlayStation 5. Процессоры AMD Strix Halo подтвреждены Компания AMD фактически подтвердила существование процессоров под кодовым именем Strix Halo.   Сначала их ID (GFX1150/1151) появились в базе ROMc, а затем появились уже непосредственно названия серии.  Само собой, технических подробностей там нет, так как так...

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Intel создала эти процессоры, чтобы обеспечить революционную для x86-разрядной архитектуры энергоэффективность. Представлены CPU Lunar Lake После вчерашних анонсов AMD свой провела и компания Intel. Она представила мобильные процессоры Core Ultra линейки Lunar Lake.  В отличие от AMD, анонс Intel содержит больше данных об архитектуре её подробностях, но не содержит информации о конкретных моделях CPU,...

Всем санкциям вопреки: Huawei впервые представит настольный компьютер на базе собственного процессора В мае 2024 года Huawei откроет новую для себя нишу — компания представит первый настольный компьютер на базе собственного процессора. Новинка под названием Qingyun W515x будет ориентирована не столько на розничный рынок, сколько на корпоративных пользователей. Qi...

Новые ноутбуки с Windows на ARM получат мощную встроенную графику Тесты показывают мощь процессора Snapdragon X Elite

Российские ученые запустили первый отечественный квантовый процессор Научно-образовательный центр «Функциональные микро/наносистемы», основанный на базе МГТУ им. Н.Э. Баумана и ВНИИА им. Н.Л. Духова, представил новейший высокоточный квантовый процессор под названием Snowdrop 4Q. Эта система включает в себя чип на базе четырех кубитов, считыва...

Нет, Huawei пока даже не собирается догонять конкурентов. Компания сейчас сосредоточена на техпроцессе 7 нм, так как у него ещё много проблем Компания Huawei сейчас имеет доступ к 7-нанометровому техпроцессу SMIC, и считается, что уже в это году SMIC освоит нормы 5 нм. При этом некоторые источники говорят, что и 3 нм не за горами. Однако сама Huawei, похоже, так не считает и говорит, что сейчас для неё новые ...

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

16/17 дюймов, Intel Core i7-13700K, Nvidia RTX 4060/4070, недорого. Представлен ноутбук MSI Blade Shadow 16/17 2024 Компания MSI представила игровой ноутбук Blade Shadow 16/17 2024 модельного года, который оснащен процессором Intel i7-14700HX с графическими процессорами RTX 4060 Laptop или RTX 4070 Laptop. Стартовая цена за версию с 16 ГБ ОЗУ и 1 ТБ SSD составляет 1090 долларов. В о...

Организация GPU-вычислений для машинного обучения в компании: проблемы и трудности По мере того как компании стремятся использовать возможности искусственного интеллекта, резко возрос спрос на специализированные вычислительные ресурсы, в частности, на графические процессоры (GPU). Графические процессоры раньше ассоциировались в основном с играми и графикой...

От 2 ядер с частотой 3,4 ГГц до 24 ядер с частотой 5,8 ГГц. Подтверждены характеристики 12 процессоров Intel Core 14 поколения (с TDP 35-65 Вт) Инсайдер, известный в Twitter под ником momomo_us, опубликовал перечень готовящихся к релизу процессоров Intel Core 14 поколения с их характеристиками. В таблице представлены CPU, не поддерживающие разгон, с TDP от 35 до 65 Вт. Изображение: Intel В перечне 12 процессор...

Новый ИИ-процессор Nvidia Blackwell будет стоить как квартира Приготовьтесь выложить кругленькую сумму за новейшие вычислительные мощности для искусственного интеллекта. Генеральный директор Nvidia Дженсен Хуанг недавно сообщил, что чип следующего поколения под кодовым названием Blackwell будет стоить от 30 000 до 40 000 долларов (3690...

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

16-дюймовый экран 2,5К 120 Гц, Core Ultra 5 125H, 32 ГБ ОЗУ, 23 часа автономности – за 850 долларов. Представлен Lenovo Xiaoxin Pro 16 2024 В Китае представлен ноутбук Lenovo Xiaoxin Pro 16 2024 – это тот самый первый ИИ-ноутбук, о котором Lenovo рассказывала ранее. Он базируется на новейшем поколении процессоров Intel: в частности, в этой модели используется Core Ultra 5 125H. У Lenovo Xiaoxin ...

Теперь-то наконец блоки NPU в процессорах Intel станут полезными? Компания открыла исходный код своей библиотеки ускорения NPU Acceleration Library Компания Intel открыла исходный код своей библиотеки ускорения NPU Acceleration Library, позволяющей компактным моделям искусственного интеллекта работать непосредственно на блоках NPU в процессорах Meteor Lake.  Библиотека создана на языке Python и призвана помоч...

Для девочек и любителей цветущей сакуры: представлена видеокарта PowerColor RX 7800 XT Dark Dog Sakura Edition PowerColor представила видеокарту RX 7800 XT Dark Dog Sakura Edition, выполненную в бело-розовой цветовой гамме на тему цветущей сакуры. Видеокарта RX 7800 XT Dark Dog Sakura Edition оснащена системой охлаждения с тремя вентиляторами. Её длина составляет 322 мм, а в то...

Samsung Exynos 2400 получит графику нового поколения Сегодня появилась новая информация о грядущем флагманском процессоре Samsung Exynos 2400, который должен отправиться в продажу в ближайшем будущем — вероятно, в 2024 году появится первый гаджет на базе этого чипа. Например, инсайдеры считают, что новая графическая подсистема...

Представлена китайская видеокарта GITSTAR JH920 на 4 ГБ Компания GITSTAR, китайский производитель видеокарт для локального рынка, представила совершенно новую видеокарту, известную под названием JH920, которая, по информации производителя, мощнее, чем NVIDIA GTX 1050, и даже поддерживает AMD FSR (правда, версия не указана, но, ве...

Banana Pi представила одноплатный компьютер BPI-M5 Pro для устройств интернета вещей Новинка оснащена процессором Rockchip RK3576, графическим блоком Arm Mali-G52 MC3 и встроенным нейропроцессорным модулем с производительностью до 6 TOPS.

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Новый прорыв в вычислительной мощности: NVIDIA GH200 Grace-Hopper с ARM-ядрами на подходе Новейший процессор NVIDIA GH200 Grace-Hopper предлагает 72 ядра ARM с уникальными возможностями в области памяти и впечатляющей производительностью. Он успешно конкурирует с топовыми решениями от AMD и Intel, открывая новые перспективы в сфере высокопроизводительных вычислен...

В новом патенте AMD описан потенциальный дизайн нового графического процессора на основе чиплетов Главное отличие заключается в том, что весь рендеринг будет выполняться чиплетами, а не большим вычислительным чипом, как в существующих графических процессорах.

В новом патенте AMD описан потенциальный дизайн нового графического процессора на основе чиплетов Главное отличие заключается в том, что весь рендеринг будет выполняться чиплетами, а не большим вычислительным чипом, как в существующих графических процессорах.

Процессоры AMD Kraken Point предположительно будут иметь четыре ядра Zen 5 и четыре ядра Zen 5c Согласно утечкам, он будет основан на ядрах процессора Zen 5, ядрах графического процессора RDNA 3.5 и аппаратном обеспечении NPU XDNA 2, все они будут изготовлены по техпроцессу N4 TSMC.

iPhone 16 получит процессор с улучшенным нейронным движком Линейка смартфонов iPhone 16 будет официально представлена уже в сентябре текущего года и помимо ожидаемых обновлений дисплея, камеры и других аппаратных компонентов, вероятно, будут анонсированы функции генеративного ИИ в системе Apple Intelligence, которые станут основным ...

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Новейшие процессоры Intel и AMD, 14-дюймовый сенсорный экран, 32 ГБ ОЗУ LPDDR5-6400 и 2 ТБ SSD, недорого. Представлены ноутбуки HP Envy x360 2024 Представлены обновленные 14-дюймовые ноутбуки HP Envy x360 2024, которые оснащаются новейшими процессорами Intel и AMD. Ноутбуки Envy x360 представлены двумя моделями на базе процессоров AMD, а также двумя моделями на базе процессоров Intel. В лагере AMD есть ...

Процессоры Intel Arrow Lake получат графический процессор Xe-LPG PLUS Графический процессор грядущих чипов Arrow Lake Xe-LPG PLUS будет иметь блок XMX для работы с технологией XeSS

Colorful представила моноблок G-One Plus: 27" дисплей, Ryzen 9 6900HX и Radeon RX 6850M XT В составе Radeon RX 6850M XT используется графический чип Navi 22 с 2560 потоковыми процессорами

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Насколько действительно хороши процессоры Google. Тестирование в разных режимах Максимальная пиковая производительность процессора — важнейший параметр, который необходимо учитывать при сравнении различных моделей. Конечно, если для вас важен этот параметр, а не общее впечатление от устройства. Однако это не единственное число, которое имеет знач...

В набор драйверов Mesa 23.3 включили первоначальную поддержку обновлённой графики RDNA3 GFX11.5 Скорее всего, это новая интегрированная графика AMD Ryzen 8000 (Strix Point).

Представлен ноутбук с Windows и Android. Он получил одновременно Intel Core Ultra 7 и Snapdragon 8 Plus Gen 1, а также отдельные наборы памяти Компания Lenovo представила устройство «два в одном», которое работают как на Windows, так и на Android. Новинка получила название Lenovo ThinkBook Plus Gen 5 Hybrid. Новое гибридное устройство Lenovo может переключаться между двумя операционными систе...

Air Company представила первую в мире экологически чистую водку Для её производства используется углекислый газ и энергия из возобновляемых источников

У Qualcomm получилось хорошо, но MediaTek сделала гораздо лучше. Vivo X100 на Dimensity 9300 уничтожил Xiaomi 14 Pro в AnTuTu Новая однокристальная система Qualcomm Snapdragon 8 Gen 3 получилась по-настоящему мощной, но… недостаточно мощной, чтобы тягаться с новейшей Dimensity 9300. Это легко понять на примере теста Vivo X100 в AnTuTu. Как видно на картинке выше, Vivo X100 заработ...

AMD Ryzen Threadripper 7995WX приближается к RTX 3060 по вычислительной производительности Процессор быстрее современных игровых консолей.

Новая модель нейрона улучшит искусственный интеллект Ученые из Центра вычислительной нейронауки института Флэтайрона разработали новую модель нейрона, которая может кардинально изменить развитие искусственного интеллекта (ИИ). Традиционные модели, основанные на представлениях 1960-х годов, не учитывают всех вычислительных спос...

Intel Arrow Lake-S снова приписывают отсутствие HT, а встроенная графика ограничится 4 ядрами Xe Также в процессорах якобы не будет новых LPE ядер.

Графика древности: легендарный Mode 7 Технологический переход в индустрии видеоигр, случившийся в середине 1990-х годов — от пиксельной графики игр прошлых лет к полигональной графике игр чуть менее прошлых лет — произошёл не одномоментно. Ему предшествовала эпоха экспериментов, когда разработчики придумывали р...

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

AMD Radeon 890M с RDNA 3.5 впервые в тесте Графический блок интегрированный в Ryzen AI 9 HX 370, который носит название Radeon 890M и предлагает в общей сложности 16 вычислительных блоков и 1024 шейдерных блока, заставляет его предшественника выглядеть довольно старым, если первые тесты OpenCL подтвердятся в играх.

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Росатом, «Аквариус» и GS Group планируют выпускать в России печатные платы Источник на рынке радиоэлектроники рассказал изданию «Коммерсантъ», что НПО «Критические информационные системы» (входит в Росатом), группа «Аквариус» и холдинг GS Group планируют создать совместное предприятие по производству печатны...

В DirectML добавли поддержку процессоров Intel Core Ultra DirectML теперь поддерживает аппаратные блоки NPU для ИИ в процессорах Intel, начиная с Meteor Lake. Это первый шаг к использованию таких блоков в ПК под управлением Windows.

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Небольшая компания представила процессор с 900 тыс. ядер. Что это за чудо технологий? О компании Cerebras на Хабре писали несколько раз, чаще всего с упоминанием того, что она создала самый большой в мире процессор. И сейчас та же ситуация — она повторила свой рекорд, разработав гигант с 900 тыс. вычислительных ядер. Конечно, это чип не для обычных пользовате...

Microsoft заявляет, что купить графические процессоры Nvidia для ИИ становится все проще Дефицита вычислительных GPU больше не будет.

Анонсированы графические процессоры Intel Xe2 с приростом производительности в 50% Новая графика Intel Xe2 сначала попадёт в процессоры и только потом в дискретные видеокарты

Продемонстрирован Intel Meteor Lake по процессу Intel 20A Новый потребительский процессор Intel Meteor Lake, изготавливаемый по техпроцессу Intel 20A, внезапно появился в докладе Пэт Гелсингера. Так, во время его речи показан процессор в неплохом состоянии с возможностью запуска Windows и исполнением задач искусственного интел...

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

Cooler Master представила корпус TD500 MAX с предустановленным AiO и блоком питания Компания Cooler Master представила корпус TD500 MAX, выполненный в формате ATX. Интересно, что по умолчанию в комплект поставки входит водяное охлаждение, а также блок питания.

Китайские ученые хотят создать RISC-V-процессоры с 1600 ядрами Исследователи из Института вычислительных технологий при Китайской академии наук разработали 256-ядерный мультичиплетный процессор под названием Zhejiang Big Chip, планируя увеличить масштаб до 1600 ядер

AMD представила процессоры для промышленного искусственного интеллекта. Это встраиваемые Ryzen Embedded 8000 Компания AMD представила линейку встраиваемых процессоров Ryzen Embedded 8000. Линейка состоит из четырёх моделей, которые технически ничем не отличаются от обычных мобильных APU Ryzen 8000, и даже названия моделей почти идентичны, нет разве что номера семейства. ...

APU AMD будут использовать архитектуру RDNA3+ как минимум до 2027 года Таким образом, ещё не вышедшая графика уже планируется использоваться как минимум в течение трех лет

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Google готовит к релизу чип Tensor G4, но он всех разочарует На протяжении нескольких лет компания Google абсолютно огорчала фанатов своей серией процессоров Tensor, потому что процессоры этой линейки оказались слишком слабыми в сравнении с конкурентами. И согласно последним утечкам результатов тестирования, в которых появился Tensor ...

Раис Татарстана Рустам Минниханов дал старт строительству вычислительного центра «Таттелекома» В ПАО «Таттелеком» появится вычислительный центр, который позволит решать задачи обработки, анализа и защиты критически важных данных ИТ-систем как самой компании, так и других предприятий. Старт строительству нового инфраструктурного объекта 30 ноября дал Раис Республики Та...

Core Intel i7-14700F, Nvidia RTX 4060 Ti, 32 ГБ/1 ТБ, в компактном корпусе, недорого. ПК Lenovo GeekPro 2024 поступил в продажу в Китае Компактный игровой ПК Lenovo GeekPro 2024 с процессором Core Intel i7-14700F и графическим процессором RTX 4060 Ti теперь доступен в Китае Lenovo представила модель GeekPro Gaming Desktop 2024, новое дополнение к своей линейке игровых ПК, в которой недавно были представ...

Cuktech представила ультрабюджетный GaN-блок питания для iPhone «Дочка» Xiaomi выпустила доступный блок питания для зарядки смартфонов Apple. Устройство заключено в компактный и лёгкий корпус, при этом обладает выходной мощностью 20 Вт

В Intel заявляют, что графические ускорители их новых процессоров обходят конкурентов от AMD По словам производителя, за счёт технологии XeSS, гейминг на встроенной графике куда плавнее

Qualcomm подтверждает работу над мобильным процессором Snapdragon 8 Gen 4 Snapdragon 8 Gen 4 получит вычислительные ядра Oryon и принесёт поддержку UWB

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

АвтоВАЗ собирается разогнать производство Lada Largus более чем вдвое АвтоВАЗ планирует выпустить в этом году около 40 000 Lada Largus, а в следующем году нарастить производство и продажи более чем вдвое, о чем рассказал вице-президент по продажам и маркетингу концерна Дмитрий Костромин. Что касается этого года - я продавец и хотел бы про...

Процессоры AMD Zen 5 "Granite Ridge", как сообщается, запущены в массовое производство О процессорах Granite Ridge мы ничего не слышали с ноября прошлого года, а на декабрьском мероприятии "Advancing AI" AMD решила не представлять процессоры нового поколения для настольных ПК

Альтернатива игровым ноутбукам на Core i9-14900HX. Представлен MSI Thunder Shadow 17 с AMD Ryzen 9 7940HX и GeForce RTX 4070 Laptop MSI вывела на домашний рынок ноутбук Thunder Shadow 17, ориентированный на геймеров. В отличие от большинства моделей, представленных с начала января, эта модель получила новый 16-ядерный и 32-поточный процессор Ryzen 9 7940HX с частотой до 5,2 ГГц вместо Core i9-14900H...

Представлен концепт смартфона, блок камеры которого превращается в различные эмодзи Дизайнеры из Yanko Design предложили оригинальную концепцию смартфона, способного «оживать» и проявлять эмоции благодаря необычной конструкции блока камер.

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

Планшет Vivo Pad 3 Pro получит топовый процессор Авторитетный информатор Digital Chat Station поделился подробностями о флагманских планшетах Vivo Pad 3 и Pad 3 Pro, которые еще не были представлены официально. Итак, базовую модель оснастят LCD-дисплеем и новой однокристальной системой Qualcomm SM8635 (Snapdragon 8s Gen 3)...

А вот эти новые процессоры AMD уже выглядят намного более интересно. AMD немного рассказала о Ryzen поколения Strix Point Процессоры Ryzen 8000U/H/HS могут разочаровать отсутствием хоть каких-то значимых улучшений, однако это далеко не все новинки 2024 модельного года, которые готовит AMD. Линейка Strix Point будет намного интереснее.  Согласно слайдам AMD, эта линейка также выйдет в...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Samsung представила свою новую дорожную карту, отражающую планы по выпуску высокотехнологичных чипов Компания планирует выпустить чипы с техпроцессами 2-нм и 1,4-нм в 2027 году

Теперь и на Mac. Microsoft выпустила Windows 11 для новейших компьютеров Apple Начиная с 2020 года компания Apple выпускает новейшие компьютеры Mac, которые построены не на базе процессоров Intel, которые ранее использовались в продукции бренда на протяжении более чем пятнадцати лет, а на процессорах собственной разработки. С Читать полную версию публ...

E-ядра новых процессоров Intel по IPC могут догнать P-ядра Willow Cove и приблизиться к AMD Zen 3 По слухам, E-ядра Skymont будут использоваться в процессорах Lunar Lake и Arrow Lake, которые выпустят в этом году.

Представлен ноутбук ASUS TUF Gaming A14 Компания ASUS представила компактный ноутбук TUF Gaming A14, который получил 12-ядерный 24-поточный процессор AMD Ryzen AI 9 HX 370 c вычислительной мощностью NPU 50 TOPS. Новинка также оснащается графическим адаптером NVIDIA GeForce RTX 4060, двумя слотами для твердотельных...

PlayStation 5 Pro получит более быстрый процессор Zen2 и на 45% более быструю графику Тактовая частота центрального процессора будет увеличена до 3,85 ГГц, но сам процессор будет относиться к архитектуре Zen 2, в то время, как главным изменением консоли станет значительно более быстрый графический процессор RDNA 3

AMD, а покупателям вообще нужен этот упор на ИИ? Компания собирается продвигать новые процессоры Ryzen 8040U/H/HS именно таким образом А вот эти новые процессоры AMD уже выглядят намного более интересно. AMD немного рассказала о Ryzen поколения Strix Point Вчера AMD представила мобильные процессоры Ryzen 8040U/H/HS, которые технически практически ничем не отличаются от аналогичных моделей Ryzen 7040. ...

MediaTek представит чип Dimensity 9400 с ядром Cortex-X5 Сегодня появилась официальная информация о том, что компания MediaTek представит свой новый флагманский процессор под названием Dimensity 9400 в четвёртом квартале этого года, то есть у компании осталось примерно полгода на то, чтобы показать аудитории новый процессор топов...

Сбер представил новую версию GigaChat - на основе самой сильной модели для русского языка На международной конференции по искусственному интеллекту AI Journey разработчики Сбера анонсировали новую версию сервиса GigaChat, в основе которого лежит одна из наиболее продвинутых моделей для русского языка с 29 миллиардами параметров.  Иллюстрация: Сбер В чи...

Тестирование процессора Intel Core i9-14900K для платформы LGA1700: новый старый флагман Предыдущий топовый процессор Intel Core i9-13900K ощутимо повысил производительность по сравнению с предшествующим флагманом, а вот новый Core i9-14900K оказался практически тем же 13900K, просто с чуть повышенной тактовой частотой, которая еще и не всегда достигается на пра...

Intel, а это действительно должно интересовать потребителей? Компания рассказала о процессорах Lunar Lake, но говорила только о производительности в задачах ИИ На прошедшем сегодня мероприятии Vision 2024 компания Intel не только представила настольные процессоры Core Ultra поколения Meteor Lake, но и раскрыла подробности о Core Ultra 200V поколения Lunar Lake, которые выйдут в конце текущего года.  К сожалению, сегодня ...

Asus анонсировала ROG Phone 8: Snapdragon 8 Gen 3, 24 ГБ ОЗУ и новый дизайн камеры Asus опубликовала в Twitter первое изображение своего нового игрового флагмана ROG Phone 8. Картинка демонстрирует тыльную панель смартфона с новым блоком камеры: визуально он компактнее блока камеры нынешней модели, но при этом он и больше выдается за пределы корпуса. ...

Чем отличается iPad Pro 2024 от iPad Pro 2022 на самом деле Apple провела одну из самых коротких презентаций за последнее время: компания представила только два новых планшета, которые до этого не обновлялись несколько лет. Пользователи ожидали серьезного апгрейда — Apple не подвела, установив в новинках не только свежие проце...

AMD готовит к выпуску мощные APU Ryzen Strix Halo для требовательных пользователей Во второй половине 2024 года AMD выпустит новые процессоры Ryzen Strix Halo для энтузиастов, которые обещают значительное улучшение производительности и графики благодаря передовым технологиям.

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Ryzen 7000 станут «старыми» уже совсем скоро? Массовое производство CPU нового поколения на архитектуре Zen 5 уже стартовало Пока неизвестно, когда AMD представит процессоры Ryzen нового поколения на архитектуре Zen 5, но сообщается, что их массовое производство уже стартовало.  Об этом написал известный инсайдер Kepler в ответ на соответствующий вопрос. К сожалению, без каких-либо подр...

iPhone X, перезагрузка? Появились чертежи и качественные изображения iPhone 16 Есть сведения, что iPhone 16 может получить дизайн в стиле iPhone X, который в 2017 году принес с собой знаменитую чёлку. Инсайдер Маджин Бу выложил в сеть утекшие чертежи iPhone 16, согласно которым Apple может убрать привычный прямоугольный блок камер, оставив только...

Samsung готовит чип Exynos 2500 для работы с ИИ от Google Можно уверенно заявить, что искусственный интеллект стал неотъемлемой частью мира передовых технологий — ни один новый гаджет в мире не выходит без поддержки каких-то новых функций на базе ИИ. И компания Samsung работает в этом плане активнее остальных — недавно, например, п...

Новейшие Mac на базе Apple M4 получат до 512 ГБ унифицированной памяти. Выход M4 ожидается уже в 2024 году По словам Марка Гурмана из Bloomberg, Apple начнет обновлять свою линейку Mac процессорами M4 в конце 2024 года. Чип M4 будет ориентирован на повышение производительности возможностей искусственного интеллекта. В прошлом году Apple представила чипы M3, M3 Pro и M3 Max в...

Nvidia готовит урезанную GeForce RTX 3050 с 6 ГБ памяти и 96-битной шиной Пока Intel собирается наконец-то выпустить представленную более года назад видеокарту Arc A580, которая будет конкурировать с GeForce RTX 3050, Nvidia собирается обновить линейку RTX 30, представив самую дешёвую модель. Такой будет RTX 3050, но с 6 ГБ памяти.  RTX...

Этого блока питания достаточно для четырёх RTX 4090 и двух процессоров Intel Xeon или AMD Epyc. Представлен Cooler Master X Mighty мощностью 2800 Вт Блок питания мощностью 1,1 кВт, и при этом с пассивным охлаждением. Cooler Master показала модель линейки X-Silent Компания Cooler Master представила на CES 2024 блок питания, который явно является одним из самых мощных в мире, если не самым мощным. Конечно, среди обыч...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Губернатор Никитин: новые «Волги» по качеству не будут уступать собиравшимся в России Volkswagen и Skoda Глеб Никитин, губернатор Нижегородской области, выступая на ПМЭФ 2024 раскрыл интересную деталь о производстве новой «Волги». По его словам, машины будут выпускать на том же оборудовании, которое использовалось для производства машин Volkswagen и Skoda. Vol...

Intel Core i5-13450HX и GeForce RTX 4060 Laptop в 4,5-литровом корпусе — всего за 690 долларов. Мини-ПК Mechrevo iminiG поступил в продажу В Китае стартовали продажи нового мини-компьютера местного бренда Mechrevo — устройство называется iminiG. Компьютер построен на базе 10-ядерного процессора Intel i5-13450HX, а за обработку графики отвечает dGPU GeForce RTX 4060 Laptop c потреблением до 140 Вт. З...

Huawei говорит, что ее ИИ-процессор Ascend 910B может быть быстрее Nvidia A100, но лишь в «в некоторых других тестах» Компания Huawei утверждает, что ее процессор Ascend 910B может быть быстрее, чем Nvidia A100.  Руководитель Huawei по управлению бизнесом в сфере ИКТ-инфраструктуры Ван Тао (Wang Tao) заявил, что этот находится на одном уровне с Nvidia A100, если даже не лучше. В ...

Intel Core i7/i9, Nvidia RTX 4070 Super, 32 ГБ/1 ТБ, в компактном корпусе. Представлен Asus ROG Ice Blade X 2024 Представлен компактный настольный компьютер Asus ROG Ice Blade X 2024, который оснащен видеокартой Nvidia RTX 4070 Super и современными процессорами Intel. Цены в Китае: i7-14700KF, RTX 4070 Super, 32 ГБ, 1 ТБ: 1950 долларов; i9-14900KF, RTX 4070 Super, 32 ГБ, 1 Т...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

Meteor Lake опережает Ryzen 7040 Phoenix по производительности графики и процессора Intel утверждает, что Meteor Lake опережает Ryzen 7040 Phoenix по производительности графики и процессора

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Super Flower представила блок питания Leadex Platinum 2800W для питания сразу четырех RTX 4090 Гигантский блок питания Super Flower Leadex Platinum 2800W может работать с четырьмя графическими процессорами GeForce RTX 4090 одновременно и имеет четыре разъема 12V-2×6

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Отмененный флагман AMD RDNA 4 мог бы удвоить производительность Radeon RX 7900 XTX Radeon RX 8900 XTX должна была иметь 9 шейдерных процессоров и до 200 вычислительных блоков

ENERMAX отзывает некоторые блоки питания серии REVOLUTION Компания ENERMAX представила информацию о том, что в некоторых блоках питания серий REVOLUTION D.F. 2 и REVOLUTION D.F. X наблюдается "превышение нормальных показателей возврата".

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Что умеет новый чат-бот Chat with RTX от Nvidia, чем отличается от ChatGPT и как им пользоваться Самые крутые видеокарты GeForce оказались в дефиците во многих странах мира из-за массового спроса со стороны Китая. Там они используются в основе супер-компьютеров, применяемых для развития нейросетей. Nvidia не могла не догадываться, в каком ключе используются ее видеокарт...

Samsung усиливает середнячок Galaxy A55 новым процессором Samsung готовит к выпуску свой новый смартфон среднего класса - Galaxy A55, который, согласно данным Geekbench, будет оснащен обновленным процессором Exynos 1480 с графическим блоком AMD

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

Уязвимость Reptar затрагивает серверные и десктопные процессоры Intel Компания Intel устранила серьезную уязвимость в процессорах для десктопов, серверов, мобильных устройств и встраиваемых систем, включая новейшие микроархитектуры Alder Lake, Raptor Lake и Sapphire Rapids. Проблема может использоваться для повышения привилегий, получения дост...

Samsung уже сертифицировала Galaxy A55 Теперь, когда Samsung завершила процесс запуска серии флагманских смартфонов Galaxy S24, компания сосредоточилась на своих более доступных устройствах серии A. Например, смартфоны Galaxy A35 и Galaxy A55 должны быть официально представлены в ближайшие месяцы, что вполне объя...

Оказалось, что у Ryzen 7 8840U есть туз в рукаве. Этот APU лучше предшественника в 10-ваттном режиме Мобильный процессор Ryzen 7 8840U технически является почти полной копией Ryzen 7 7840U, разве что блок NPU стал мощнее. Однако тесты показывают, что у нового APU есть скрытые преимущества.  Оказалось, что в режиме 10 Вт новинка быстрее всего предшественника в игр...

Игровой ноутбук MSI TITAN 18 HX нового поколения комплектуется блоком питания на 400 Вт Компания предусмотрела режим повышенной мощности: 270 Вт для процессора и видеокарты.

Крошечный ПК Asus ExpertCenter PN65 с поддержкой 96 ГБ ОЗУ и возможностью подключения четырех 4K-мониторов поступил в продажу в Китае После презентации мини-ПК ExpertCenter PN65 ранее на выставке CES компания Asus выпустила эти устройства в Китае. Asus ExpertCenter — это линейка настольных компьютеров, предназначенных для бизнеса. ExpertCenter PN65 оснащен новейшими процессорами Intel Core Ultra...

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Snapdragon X Elite - 12 ядер, x2 более быстрый CPU и GPU по сравнению с чипами x86, LPDDR5X и 5G Вычислительная производительность неназванного графического процессора Adreno составляет 4,6 TFLOPS. Snapdragon X Elite также получит поддержку памяти LPDDR5X

Sony PlayStation PS5 Pro: улучшение системной памяти и более быстрый графический процессор PS5 Pro обладает в три раза большей вычислительной мощностью, чем PS5 — 33,5 терафлопс по сравнению с 10,28 терафлопс у PS5.

Mobvoi представила умные часы TicWatch Pro 5 В мае прошлого года компания Mobvoi представила свои новые умные часы TicWatch Pro 5, а год спустя бренд анонсировал модель Enduro — необычную версию в линейке TicWatch Pro для энтузиастов, которым нужны прочные умные часы. Стоит отметить, что часы TicWatch Pro 5 Enduro рабо...

AMD, а кому вообще нужны эти процессоры по таким ценам? На глобальный рынок вышли Ryzen 7 8700F и Ryzen 5 8400F Компания AMD анонсировала настольные процессоры Ryzen 7 8700F и Ryzen 5 8400F для глобального рынка.  Новинки ранее были представлены в Китае, а теперь и за его пределами. За младшую модель просят 170 долларов, а старшую оценили в 270 долларов. Это дешевле, чем пр...

15 дюймов, Intel Core i7-13650HX и Nvidia RTX4060 Laptop всего за 850 долларов. Представлена новая версия Machenike Sugon 15 Pro Компания Machenike представила новую версию ноутбука Sugon 15 Pro, который уже появился на популярных торговых площадках JD.com и Pinduoduo. Machenike Sugon 15 Pro оснащен 14-ядерным 20-поточным процессором Intel Core i7-13650HX, видеокартой Nvidia RTX4060 Laptop с 8 ГБ...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

AMD возможно планирует перенести производство GPU Radeon на более дешевый техпроцесс Samsung По словам @Tech_Reve, Team Red диверсифицирует свою цепочку поставок, перенеся производство своих недорогих APU Ryzen (и Athlon) на более дешевый техпроцесс Samsung.

Массовое производство Zen 5 процессоров AMD Granite Ridge уже может быть запущено Новую серию ожидают в этом году, она будет конкурировать с процессорами Intel Arrow Lake-S.

Не все процессоры Intel Arrow Lake получат улучшенную графику Xe-LPG+ Похоже, она появится в мобильных процессорах.

Эксперт сравнил встройки процессоров AMD Ryzen 7 7800X3D и Intel Core i9-14900K У Ryzen 7 7800X3D внутри графика RDNA 2, Core i9-14900K оснащен UHD 770.

Snapdragon 8 Gen 3 не поддерживает опцию графики "PEAK" в игре Call of Duty Mobile Warzone Snapdragon 8 Gen 3, процессор Qualcomm, не поддерживает опцию графики "PEAK" в игре Call of Duty Mobile Warzone на смартфоне Xiaomi 14 Ultra

MWC 2024: Tecno анонсировала ноутбук Megabook T16 Pro 2024 Ultra с ИИ Благодаря процессору Intel Core Ultra 7 и дискретной графике Megabook T16 Pro 2024 Ultra подойдет для работы с нейросетями, 3D и видео.

Мини-ПК MechRevo с видеокартой RTX 4060 оценили в $690 В Китае анонсирован новый мини-компьютер местного бренда MechRevo. Устройство называется iminiG. ОсобенностиКомпьютер построен на 10-ядерном процессоре Intel i5-13450HX, а за обработку графики отвечает GPU GeForce RTX 4060 Laptop с энергопотреблением до 140 Вт. За…

Обзор блока питания CPS PCCooler YS1200 на 1200 Вт Это подразумевает наличие отдельной линии питания для топовых видеокарт GeForce RTX 4000 серии с максимальной потребляемой мощностью до 600 Вт. При этом, в отличие от блоков питания стандарта ATX 3.0, тут используется разъём 12V-2×6, являющийся доработанной версией изначал...

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

Тут 32 ГБ HBM2e, 8 ГБ SDRAM, FPGA, два процессора Arm и почти 11 000 срезов DSP. Представлен ускоритель AMD Alveo V80 за 9495 долларов Компания AMD объявила о старте массового производства своего достаточно необычного продукта под названием Alveo V80.  Alveo V80 — это так называемый вычислительный ускоритель. В данном случае ориентированный на высокопроизводительные вычисления для рабочих н...

Почему новый процессор Dimensity 9300 круче Snapdragon 8 Gen 3, и вам нужен смартфон на MediaTek В конце прошлого месяца компания Qualcomm показала процессор Snapdragon 8 Gen 3, который будет использоваться в большинстве флагманских смартфонов 2024 года. Но ее конкурент в лице MediaTek не дремлет и уже сегодня подготовил свой ответ. 6 ноября вышел новый процессор Dimen...

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Как и чем отмыть плитку в ванной Избавьтесь от налёта, ржавчины и других загрязнений.

Новый процессор китайской Loongson «померился силами» с Nvidia, но потерпел неудачу Loongson, китайский производитель процессоров, делает успехи на арене GPU, представляя ускоритель LG200. Позиционируемый как соперник Nvidia в области ИИ и высокопроизводительных вычислений (HPC), LG200 может похвастаться поддержкой OpenCL 3.0 для мощных вычислительных возмо...

Deepcool представила новые системы воздушного и жидкостного охлаждения на выставке Computex 2024 Также на Computex 2024 были представлены и другие комплектующие Deepcool - блоки питания, вентиляторы и корпуса для ПК.

Из-за упора на ИИ мы получим более слабые процессоры? AMD передумала добавлять в APU Strix Point SLC-кеш ради увеличения блока NPU Поворот AMD и Intel в сторону искусственного интеллекта, видимо, негативно скажется на будущих процессорах компаний, если говорить о классической производительности.  Инсайдер Uzzi38 на форуме AnandTech рассказал, что AMD якобы отказалась от идеи добавить мобильны...

Раскрыты некоторые характеристики ноутбуков Dell XPS и Alienware 2024 года Некоторые модели ноутбуков Dell XPS и Alienware получат процессоры Intel Core Ultra 100 и графику RTX 40

AMD готовит серию настольных процессоров Ryzen 7000G APU Ryzen 7000G Phoenix получат ядра Zen4 и графику RDNA3

Встроенная графика процессора Intel Core Ultra 7 155H обошла дискретную видеокарту Arc A350M Кроме того, iGPU в 3DMark Time Spy расправился с AMD Radeon 780M.

Потребительские Zen 6 процессоры AMD могут получить интегрированную графику RDNA 5 Zen 6 процессоры ждут в 2025-2026 году.

LG представила ноутбуки Gram Pro: технология AI Magic, RTX 3050 и ультратонкий дизайн LG представила свою новейшую серию ноутбуков Gram Pro. Благодаря процессорам Intel Core Ultra 7 и эксклюзивному нейронному процессору эти ноутбуки могут выполнять ИИ-операции автономно, без необходимости подключения к Интернету.

Ракету-носитель «Ангара-А5» начали собирать для первого пуска На космодроме Восточный завершилась сборка «пакета» тяжёлой ракеты-носителя «Ангара-А5» для первого пуска, сообщает пресс-служба Роскосмоса. Специалисты Космического центра «Восточный» и НПЦ имени М.В. Хруничева пристыковали четыре бо...

Lenovo представила мощный мини-ПК с RTX 4090 и Intel Core i9 за $1500 Lenovo представила новый мини-ПК ThinkCentre neo Ultra, который объединяет в себе мощные вычислительные возможности и компактные размеры.

Процессоры по-прежнему являются основными вычислителями для рабочих нагрузок ИИ Во время обучения моделей требуются огромные вычислительные мощности и объем памяти.

NVIDIA Blackwell B100 GPU выйдет по 3 нм процессу Компания NVIDIA будет применять технологию TSMC 3 нм уже со следующего года. Отмечается, что компания будет производить вычислительный графический процессор GB100 по этой технологии. При этом есть высокая вероятность, что вся серия GPU Blackwell будет использовать эту т...

Huawei P70 получит невероятно мощный процессор Большой спрос на линейку смартфонов Mate 60 в Китае свидетельствует о том, что у Huawei есть достаточное количество потенциальных покупателей для запуска флагманского смартфона P70 в следующем году. Согласно информации, раскрытой китайскими источниками, компания планирует пр...

Board Channels: NVIDIA прекратила производство GPU линейки GTX 1600 Возможно, бренд GTX больше не будет использоваться для новых продуктов

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

XPG представляет новые блоки питания ATX 3.0 с поддержкой PCIe 5.0 В этих блоках питания от XPG имеется широкий спектр сертификатов.

Новые процессоры Intel Meteor Lake будут не такими уж и новыми. Компания призналась, что архитектурных отличий от Raptor Lake немного В конце текущего года Intel представит процессоры Meteor Lake, которые предложат и новый техпроцесс, и новые архитектуры для больших и малых ядер, и новый iGPU. Впрочем, как оказалось, относительно архитектур всё не так однозначно.  Сама Intel сообщила, что больши...

Loongson начала поставки ПК с процессором 3A6000 Данные процессоры будут использоваться в компьютерах для внутреннего рынка Китая

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Huawei и OrangePi представили альтернативу Raspberry Pi с загадочным процессором и чипом ИИ дешевле $150 Huawei и OrangePi объединили усилия для создания OrangePi Kunpeng Pro, платы, предназначенной для разработчиков, студентов и простых пользователей. Это одноплатный компьютер, очень похожий на Raspberry Pi, оснащенный четырехъядерным 64-битным процессором ARM и отдельным...

Представлены процессоры AMD Ryzen Pro 8040 – меньше TDP, но мощнее Core Ultra AMD представила линейку процессоров Ryzen Pro 8040. Это самые передовые процессоры x86, предназначенные для бизнес-ноутбуков и мобильных рабочих станций. Семейство APU AMD Ryzen PRO 8040 «Hawk Point» использует ту же архитектуру ядра процессора Zen 4 и ту же архитектуру…

16 дюймов, 2,5К, Core i7-13650HX, Nvidia RTX 4070 Laptop и блок питания на 240 Вт, недорого. Представлен новый Mechanical Revolution Aurora Pro 2024 Компания Mechanical Revolution выпустила игровой ноутбук Aurora Pro 2024, который получил вместо процессора предыдущего поколения Core i7-12650H более новый Core i7-13650HX. Версии с видеокартами Nvidia RTX 4060 и 4070 Laptop продаются по цене 900 и 970 долларов соответ...

Цифровые технологии, ускоряющие интеллектуальное развитие электроэнергетики Для повышения цифровой продуктивности требуется системное развитие базовых возможностей. Модернизация вычислительных мощностей, и пропускной способности в частности, критически важна для создания новой энергетической системы. Строительство новой энергетической системы, ориен...

Чипы для всех. Свободный стандарт на производство микросхем LibrePCB: бесплатный инструмент для разработки печатных плат под Windows, Linux и MacOS Идея свободного железа особенно актуальна сейчас, когда крупные корпорации пытаются ограничить доступ к своей интеллектуальной собственности, а национальные правительства ставят друг дру...

Процессоры Intel Lunar Lake-V получат 8 ядер в конфигурации 4P плюс 4LPE и до 32 ГБ LPDDR5X-8533 А также интегрированная графика Battlemage.

OnePlus представила передовую батарею Glacier Battery Некоторое время назад инсайдер из Китая сообщил о скором анонсе новой технологии производства аккумуляторных батарей, которые якобы должны использоваться в будущем OnePlus Ace 3 Pro. А сегодня компания официально подтвердила эту новость, сообщив, что OnePlus тесно сотруднича...

Вышел процессор Snapdragon 8 Gen 3 для Android-флагманов 2024 года. Он рвет AnTuTu и тянет игры в 240 fps 24 октября на Гавайских островах в США стартовала ежегодная конференция Snapdragon Summit, где американская компания Qualcomm презентовала свои новые продукты. Для пользователей Android главной звездой мероприятия стал процессор Snapdragon 8 Gen 3. Он будет основой большинс...

Китайский процессор Loongson 3A6000 дебютировал в мини-ПК Morefine M700S От мини-ПК стоимостью 387 долларов не стоит ожидать вершин производительности, но он вполне способен справляться с нетребующими больших вычислительных мощностей задачами

Google добавит рекламные блоки в ИИ-сниппеты в выдаче Для AI Overviews будет использоваться реклама из существующих кампаний рекламодателей

16-дюймовый экран AMOLED 2,8K, Core Ultra 9 185H, GeForce RTX 4070 Laptop, 64 ГБ ОЗУ и 140 Вт. Представлен Samsung Galaxy Book4 Ultra Samsung обещала сегодня представить свои первые ИИ-ноутбуки (на базе процессоров Intel Core Ultra) – и представила. Возглавил новую линейку Galaxy Book4 Ultra. Это 16-дюймовая модель, но она довольно тонкая (габариты составляют 355,4 x 250,4 x 16,5 мм) и легкая (...

В России планируют развивать бизнес по переработке шин Холдинг «Экто» собирается инвестировать в сферу переработки шин,  в ходе который их превращают в резиновую крошку. Структура, принадлежащая сыну основного акционера ЛУКОЙЛа, Юсуфу Алекперову, может расширить данное направление на регионы России, о ...

Яндекс 360 улучшил навигацию для пользователей мобильного Диска Изменился вид плиток с фотографиями

Samsung Galaxy S25 выйдет на базе Exynos 2500 Согласно информации от западных инсайдеров, компания Samsung планирует придерживаться стратегии с двумя процессорами для своей предстоящей серии флагманских смартфонов Galaxy S25. Это значит, что некоторые регионы мира будут предлагать смартфон нового поколения на базе проце...

TSMC и Synopsys запускают в производство передовую платформу вычислительной литографии NVIDIA TSMC и Synopsys объявляют о запуске в производство платформы вычислительной литографии NVIDIA, которая будет ускорять производство и расширять границы физики для следующего поколения полупроводниковых чипов

NVIDIA GeForce RTX 5090 выпустят до конца текущего года Судя по информации иностранных инсайдеров, следующее поколение видеокарт NVIDIA GeForce RTX 5090 и RTX 5080 на архитектуре Blackwell должно выйти на рынок в четвёртом квартале 2024 года. Стоит напомнить, что текущий год начался с выпуска серии GeForce RTX 40 SUPER, которая п...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Сотни «Бобров» с процессорами «Байкал» и Astra Linux встали на службу. 95% рабочих мест «Росэнергоатом» перевели на отечественное ПО «Росэнергоатом» успешно завершил перевод на отечественное программное обеспечение более 95% рабочих мест до конца 2023 года. Электроэнергетический дивизион Росатома перевел рабочие места на операционную систему Astra Linux. Специалисты компании разработали и...

Хитовый мини-ПК от Xiaomi стал лучше. Представлен новый Xiaomi Host Mini: 12-ядерный Core i5-1340P, 0,44 литра объема и цена 480 долларов В Китае стартовали продажи новой версии мини-ПК Xiaomi Host Mini: если у прошлого варианта, представленного в конце 2022 года, был процессор Intel Core i5-1240P, то новая версия получила CPU Core i5-1340P. Создатели говорят, что за счет этого однопоточная производительн...

АвтоВААЗ перезапустил производство, которое простаивало более восьми лет. Первые машины «ВИС-Авто», собранные в ОПП, уже доставлены дилерам Состоялся перенос автосборочных мощностей компании «ВИС-Авто» на площадку бывшего опытно-промышленного производства АвтоВАЗа, которое простаивало более восьми лет, о чем пишет «Автопоток». АвтоВАЗ перезапустил бывшее Опытно-промышленное производс...

InWin показала на Computex блок питания мощностью 2500 Вт и новые кулеры AIO Недавно выпущенная серия InWin VE включает два блока питания с сертификатом 80 Plus Gold: VE105 (1050 Вт) и VE125 (1250 Вт) с 5-летней гарантией.

Эти процессоры Intel в конце 2024 года предложат максимум четыре больших и четыре малых ядра. Cirrus Logic поможет сделать платформу Lunar Lake эффективнее Компания Intel уже говорила, что создаёт мобильные процессоры Lunar Lake в первую очередь с большим упором на энергоэффективность. Оказалось, что в этом вопросе Intel будет полагаться не только на себя.  фото: Intel Повысить энергоэффективность платформы Lunar Lak...

В графике Xe-LPG процессоров Meteor Lake нет блоков XMX, они появятся в Plus версии для Arrow Lake Но технология масштабирования XeSS на Xe-LPG в Meteor Lake работать всё равно будет.

Графика ZX C-1190 от Zhaoxin имеет производительность iGPU десятилетней давности Встроенная графика процессора Zhaoxin KX-7000 проигрывает даже iGPU HD Graphics 4400

Встроенную графику процессоров Ryzen 5 8500G, Ryzen 5 8600G и Ryzen 7 8700G сравнили в играх Младший iGPU заметно отстает от собратьев

ASUS ROG анонсирует серию ноутбуков Strix 2024 года Флагманский 24-ядерный процессор Intel Core i9-14900HX, мощная дискретная графика NVIDIA и 2,5K 240 Гц дисплеи.

Lenovo выпустила ноутбук Yoga Pro 9 с процессором Intel Core Ultra 9 и GPU RTX 4070 Ноутбук предлагает четыре различных варианта GPU - RTX 4050 / RTX 4060 / RTX 4070 и интегрированную графику Intel Arc.

AMD готовит процессоры Ryzen 7 8700F и Ryzen 5 8400F без встроенной графики Процессоры будут предназначены для продажи на китайском рынке

Блок NPU нужен еще и в видеокартах? PowerColor показала Radeon RX 7900 XTX с внешним NPU, который значительно снижал энергопотребление Возможно, будущие видеокарты по примеру новейших процессоров будут располагать своими собственными блоками NPU. Как минимум PowerColor показала, что это имеет смысл.  На выставке Computex 2024 производитель показывал систему на основе Radeon RX 7900 XTX и некоего ...

Очередная революция Intel. Компания представила первый в отрасли полностью интегрированный чиплет оптического ввода-вывода Компания Intel, как она сама утверждает, достигла революционной вехи в области интегрированной фотоники для высокоскоростной передачи данных.   На конференции по оптоволоконной связи (OFC) 2024 группа Intel Integrated Photonics Solutions (IPS) продемонстрировала с...

В бенчмарке засветился процессор Qualcomm Snapdragon X Plus По информации западных инсайдеров, компания Microsoft готовится к мероприятию по анонсу своих новых планшетов и ноутбуков на базе ARM-процессоров — событие пройдёт 20 мая, а основным партнёром в этом вопросе выступит компания Qualcomm, которая разрабатывает мобильные процесс...

Intel представила ускоритель ИИ Gaudi 3 На протяжении достаточно длительного времени в сети ходили слухи о том, что компания Intel планирует создать собственное аппаратное обеспечение для работы с искусственным интеллектом. А сегодня, к счастью, компания наконец представила свой собственный ускоритель для искусств...

96-ядерный процессор AMD Threadripper Pro 7995WX побил рекорды тестов с воздушным охлаждением Процессор основан на семействе AMD Genoa, которое также используется в обычной линейке Threadripper 7000 и процессорах EPYC 4-го поколения.

Теперь Intel сравнивает свои CPU не с чипами AMD, а со Snapdragon. Процессоры Lunar Lake выйдут уже в третьем квартале Процессоры Intel Lunar Lake действительно выйдут раньше, чем считалось ранее. Intel подтвердила запуск в третьем квартале текущего года.  Процессоры Lunar Lake-MX ориентированы на мобильные ПК, причём не самые мощные. Это процессоры, которые с самого начала разраб...

Тесты новейшего процессора Loongson 3A6000 Недавно был представлен 8-ядерный процессор следующего Loongson 3A6000 на базе ядра LA664, а знакомый энтузиаст смог приобрести плату на данном процессоре (модель процессора LS3A6000-HV и чипсет LS7A2000). Читать далее

Vivo X200 может стать первым смартфоном на процессоре MediaTek Dimensity 9400 Компания MediaTek разрабатывает Dimensity 9400 с использованием передового техпроцесса 3-нм второго поколения от TSMC, что должно дать чипсету высокую производительность и энергоэффективность

Представлен мини-ПК MechRevo Aurora S Компания MechRevo представила компактный ПК Aurora S, который получил дискретную видеокарту. Речь идет о NVIDIA GeForce RTX 4060 Laptop. Базовая конфигурация новинки включает 10-ядерный чип Intel i7-12650H с тактовой частотой до 4,7 ГГц, 16 ГБ оперативной памяти DDR4 и тверд...

Представлен Xiaomi Pad 6S Pro – планшет на Snapdragon 8 Gen 2 Xiaomi представила планшет Xiaomi Pad 6S Pro. По дизайну гаджет очень напоминает базовый Pad 6. Отличия заключаются в блоке основной камеры, который, как и в смартфоне Xiaomi 14 Ultra, квадратный. Также различны и спецификации новой и предыдущей модели. Особенности…

Представлен Huawei Mate 60 RS Ultimate Design в керамике за $1642 Huawei анонсировала свой новый смартфон премиум-класса Huawei Mate 60 RS Ultimate Design. Как и Huawei Mate 50 RS Porsche Design, представленный в прошлом году, новинка обладает керамической задней панелью и блоком камер в форме восьмиугольника. ДисплейHuawei Mate 60…

Exynos 2400 сможет тягаться со Snapdragon 8 Gen 3? Новая платформа Samsung будет намного энергоэффективнее Топовые однокристальные системы Samsung Exynos из года в год в целом проигрывают флагманским решениям Qualcomm, и не в последнюю очередь из-за худшей энергоэффективности. Новая SoC Exynos 2400 в этом вопросе, возможно, станет исключением. Как сообщается, Samsung начнёт ...

Новейший 12-ядерный процессор AMD Ryzen 9 9900X в связке с Radeon RX 7900 XTX уничтожил Core i9-13900K и RTX 4090 в первом игровом тесте Во время премьеры новейших процессоров Ryzen 9000 для настольных ПК AMD рассказала и наглядно показала, что ждать от флагмана серии — 16-ядерного Ryzen 9 9900X. А сейчас в Сети появился первый игровой тест 12-ядерного Ryzen 9 9900X, и он впечатляет (особенно в сра...

В России запустили серийное производство материнской платы для процессоров «Байкал» и «Элвис» О запуске производства «матерей» для российских процессоров заявил ресурс Moscowseasons.

Huawei ответила на американские санкции процессорами Kirin 9000SL 5G и Kirin 8000 5G. Это первые за почти 5 лет собственные SoC Huawei c 5G для смартфонов Инсайдер Digital Chat Station раскрыл подробности об «антисанкционных» однокристальных системах Huawei, которые будут использоваться в смартфонах линейки nova 12. По данным инсайдера, в топовой модели Huawei nova 12 Ultra пропишется SoC Kirin 9000SL 5G, а H...

Как iMac, но теперь от Asus, очень недорого. Представлены Asus Dawn V5 и M3 2024 года Представлены моноблоки Asus Dawn V5 и Dawn M3 2024 модельного года, оснащенные процессорами Intel Core 5 120U и AMD Ryzen R5-7520U, который предлагаются 690 и 660 долларов соответственно в Китае. Asus Dawn V5 2024 оснащен процессором Intel Core 5 120U, двумя слотами па...

Huawei откажется от рынка смартфонов в пользу ИИ Huawei решила сделать шаг назад в своем бизнесе смартфонов, сосредоточившись на освоении растущего рынка аппаратного обеспечения для искусственного интеллекта в Китае — это позволит конкурировать с NVIDIA. Для этого китайский производитель делает ставку на собственные чипы A...

300-миллиметровые полупроводниковые пластины, появившиеся почти 25 лет назад, наконец-то будут заменены? TSMC рассматривает переход на квадратные пластины На сегодняшний день в производстве полупроводников по современным техпроцессам используются привычные круглые 300-миллиметровые пластины, появившиеся почти четверть века назад. Разговоры о переходе на 450-миллиметровые пластины ходят давно, но, возможно, этого так и не ...

Компании GigaIO и SourceCode анонсировали систему для ИИ-нагрузок Gryf в компактном форм-факторе Компания GigaIO и SourceCode представили новейшую вычислительную систему Gryf - первый в мире суперкомпьютер для ИИ-нагрузок, выполненный в форм-факторе чемодана на колёсиках.

Исследователи научились извлекать ключи RSA из SSH-трафика благодаря ошибкам Группа ученых продемонстрировала, что при определенных условиях пассивный злоумышленник, прослушивающий трафик, может узнать приватные ключи RSA, которые используются в SSH-соединениях. Для этого используются вычислительные ошибки в ходе вычисления цифровой подписи, возникаю...

Упоминания Windows 11 версии 24H2 появились на сайте поддержки Microsoft На официальной странице поддержки Microsoft обнаружено, вероятно, первое упоминание «Windows 11 версии 24H2». Это может означать, что следующая версия Windows выйдет в качестве крупного функционального обновления для Windows 11, а не будет являться новой операционной систем...

Samsung Galaxy Book4 Ultra: главный противник MacBook Pro с мощным процессором Intel Samsung повышает ставки на поле битвы ноутбуков, представив свою новейшую модель Galaxy Book4 Ultra, которая должна составить конкуренцию последним MacBook Pro. Этот преемник Galaxy Book3 Ultra четвертого поколения оснащен современными компонентами, включая процессоры Intel ...

Большой, аэродинамичный, экономичный и «грустный». Представлен совершенно новый Mercedes-Benz Actros Mercedes-Benz представила совершенно новый тягач Actros L. Грузовик получил новую кабину, унаследованную от показанного в прошлом году электрического eActros 600. Только за счет новой более аэродинамичной кабины удалось снизить расход топлива на 3%, еще 4% позволяет эко...

Графическая производительность Tensor G3 – на уровне ... Процессор Tensor G3 из Google Pixel 8 достаточно энергоэффективный, но его графика может конкурировать только с чипами прошлого года.

Гибридный процессор AMD Ryzen 8000 Hawk Point впервые замечен в составе планшета APU может получить ядра Zen 4 и графику RDNA 3.5.

Intel раскрыла игровую производительность встроенной графики Xe2 процессоров Lunar Lake Тестирование встроенной графики Xe2 проводилось в игре F1 2024 с трассировкой лучей и XeSS.

Colorful готовит игровые ноутбуки Colorfire в серии с котиками под названием Meow Они будут основаны на процессорах AMD и графике NVIDIA.

Встроенная графика в процессорах Ryzen AI 9 HX 170 оказалась на 40% мощнее прошлой версии Графика Radeon 890M будет работать на архитектуре RDNA3.5.

Intel и Microsoft объявили о сотрудничестве по микросхемам Intel объявила о сотрудничестве с Microsoft, планируя производство индивидуальных вычислительных чипов. Это партнерство ставит своей целью опережение основного конкурента, Taiwan Semiconductor Manufacturing Co (TSMC). Intel рассчитывает превзойти собственный внутренний срок ...

ASRock представила Radeon RX 7900 XT Phantom Gaming в белом цвете Phantom Gaming построен на базе модели Radeon RX 7900 XT с 84 вычислительными блоками.

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

Nvidia создаст новое подразделение для производства чипов Продукция будет использоваться в облачных вычислениях, игровой и автомобильной индустриях, а также в разработке ИИ

Мобильный процессор в настольном ПК попросту выгоднее. Lenovo начала продажи игрового Legion 7000K сегмента MoDT Компания Lenovo недавно представила настольный игровой ПК Legion 7000K, который относится к классу, называемому сейчас Mobile on Desktop (MoDT). То есть в нем используются мобильные процессоры для создания настольных ПК.  Теперь новинка Lenovo стала доступна для п...

Сюрприз от AMD, который никто не ждал. Компания представила процессоры AMD Ryzen 9 5900XT и Ryzen 7 5800XT для платформы AM4, которой уже больше 7 лет Пользователи старой платформы AMD AM4 могут поднять производительность своих компьютеров на новый уровень при помощи новейших процессоров AMD Ryzen 9 5900XT и Ryzen 7 5800XT. Представив эти CPU, компания сделала сюрприз, который мало кто ждал. Процессоры получились дов...

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

Автомашинист. Возвращаем к жизни процессорный блок Приветствую всех! Не так давно я рассказывал про то, как работает система автоведения пригородных поездов. Но то было только начало, мне традиционно хотелось большего, нежели просто подать питание и понажимать кнопки. И, как оказалось, с виду довольно простой блок скрывает в...

Автомашинист. Возвращаем к жизни процессорный блок Приветствую всех! Не так давно я рассказывал про то, как работает система автоведения пригородных поездов. Но то было только начало, мне традиционно хотелось большего, нежели просто подать питание и понажимать кнопки. И, как оказалось, с виду довольно простой блок скрывает в...

Высокий процент брака и плохая энергоэффективность. У Samsung большие проблемы с 3-нм техпроцессом Ранее ходили слухи, что Samsung добилась успеха со своими 3-нм техпроцессами, а разработка 2-нм техпроцессов продвигается гладко. Но, по данным Businesskorea, 3-нм техпроцесс Samsung Foundry по-прежнему значительно уступает технологиям TSMC как по проценту бра...

Intel представила процессоры поколения Lunar Lake Сегодня компания Intel поделилась подробностями о новом поколении мобильных процессоров Lunar Lake, которые будет использоваться в ноутбуках к концу 2024 года. Стоит сразу отметить, что поколение Lunar Lake представляет собой значительное обновление в сравнении с Meteor Lake...

Аппаратные блоки для ИИ в процессорах наконец-то станут полезными. В DirectML добавили поддержку процессоров Intel Core Ultra На данный момент аппаратные блоки ускорения для ИИ в процессорах AMD и Intel практически бесполезны для обычных пользователей ввиду почти полного отсутствия соответствующего ПО. Однако постепенно ситуация будет меняться. К примеру, в предварительной версии DirectML Dire...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)