Социальные сети Рунета
Понедельник, 1 июля 2024

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Intel хочет быть впереди всех. Компания выкупила все новейшие машины ASML для литографии EUV с высокой числовой апертурой до конца года Компания Intel сделала большую ставку на технологию литографии EUV с высокой числовой апертурой. Настолько большую, что выкупила у ASML все соответствующие машины, которые голландская компания построит до конца текущего года.  На самом деле в абсолютном выражении ...

Microsoft отчиталась об успехах в продвижении «этичного» ИИ Microsoft выпустила свой первый отчет о прозрачности ответственного ИИ, в котором показаны ее успехи в продвижении «этичных и безопасных» методов ИИ. Отчет стал результатом соглашения с Белым домом.

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Эксперт доктор Мороз: Китай последовательно реализует свой план по освоению космоса Успеха Китая в космосе можно было ожидать, принимая во внимание его предыдущие достижения.

Новые Lada Largus в Ижевске красят уже в четыре разных цвета При тестовой сборке Lada Largus используются разные цвета, о чем сообщили в корпоративной группе «На заводе Ижевск» в соцсети «ВКонтакте». На прошлой неделе в цехе окраски кузовов началось освоение нового цвета — темно-серого металлика &laq...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Samsung Electronics ускоряет освоение 2-нм техпроцесса в ущерб 3-нм Перекуём мечи для аврала!

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Несмотря на все американские санкции китайская SMIC уже готовится к освоению 3-нм техпроцесса Однако некоторые отраслевые эксперты сомневаются в её возможностях.

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

Глава WOO отчитался об успехах компании Пресс-служба WOO опубликовала годовой отчет

Роскомнадзор отчитался по результатам маркировки рекламы в 2023 За год работы по новому закону отчиталось более миллиона рекламодателей.

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

Москвич сообщил о выпуске 27 тысяч авто с ДВС и 4 тысяч электрокаров с ноября прошлого года Автоконцерн отчитался о своих успехах за год.

Apple успокаивает инвесторов на фоне успехов Huawei на китайском рынке Компания Apple заявила, что спрос на iPhone в Китае высок. Это отдельное заявление компания сделала, чтобы успокоить инвесторов, которые обеспокоены успехами Huawei на родном рынке и, в частности, линейки Mate 60.  фото: REUTERS/Aly Song/File Photo Apple же г...

Специалисты в области питания перечислили пять лучших диет для похудения в 2024 году Когда речь идёт о снижении веса, то эксперты сходятся во мнении, что залог успеха - в медленном и устойчивом темпе. Лучше всего в неделю сбрасывать один-два фунта (0,5-1 кг). И вот какие диеты вам помогут в этом.

Росатом подвел итоги года в сфере аддитивных технологий Топливная компания «ТВЭЛ» отчиталась об успехах предприятий Росатома по развитию аддитивного производства, включая промышленные 3D-принтеры, новые центры аддитивных технологий и разработку национальных стандартов.

Польская Onet: Запад не заинтересован в решительном успехе ВСУ В Польше полагают, что успехи Украины не входят в планы западных держав

№1 по поставкам автомобилей на новых источниках энергии: BYD отчиталась о результатах работы BYD опубликовала отчет о производстве и продажах автомобилей за май. В мае производство автомобилей на новых источниках энергии (BYD выпускает только гибриды и электромобили) составило 331 817 единиц по сравнению с 240 220 единицами за тот же период прошлого года, что п...

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Samsung улучшила доходность техпроцесса 3 нм более чем втрое, но этого всё равно недостаточно, чтобы догнать TSMC Компания Samsung продолжает отставать от TSMC в темпах освоения новых техпроцессов, но улучшает собственные показатели. Как сообщается, показатель выхода годной продукции у техпроцесса 3 нм значительно улучшился.  Если точнее, то в разы. Инсайдер Revegnus, говорит...

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

США провели плановые испытания своей межконтинентальной баллистической ракеты Minuteman III В ходе испытаний МБР Minuteman III никаких аномалий не произошло, в военном ведомстве отчитались об успехе в мероприятии.

Нет, Huawei пока даже не собирается догонять конкурентов. Компания сейчас сосредоточена на техпроцессе 7 нм, так как у него ещё много проблем Компания Huawei сейчас имеет доступ к 7-нанометровому техпроцессу SMIC, и считается, что уже в это году SMIC освоит нормы 5 нм. При этом некоторые источники говорят, что и 3 нм не за горами. Однако сама Huawei, похоже, так не считает и говорит, что сейчас для неё новые ...

Snapdragon 8 Gen 5 будет дешевле Snapdragon 8 Gen 4? Qualcomm хочет вернуться к Samsung, как второму производителю SoC Однокристальная система Snapdragon 8 Gen 4 еще не была представлена, а в Сети появились новости о Snapdragon 8 Gen 5. Возможно, она будет дешевле Gen 4.  Суть в том, что высокая цена грядущей платформы обусловлена не только лишь какими-то желаниями Qualcomm. Важна...

Иран отправит человека в космос уже в ближайшие пять лет Иран активно движется в сторону освоения космоса.

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Эти процессоры Intel в конце 2024 года предложат максимум четыре больших и четыре малых ядра. Cirrus Logic поможет сделать платформу Lunar Lake эффективнее Компания Intel уже говорила, что создаёт мобильные процессоры Lunar Lake в первую очередь с большим упором на энергоэффективность. Оказалось, что в этом вопросе Intel будет полагаться не только на себя.  фото: Intel Повысить энергоэффективность платформы Lunar Lak...

Китайская SMIC неожиданно для всех занялась освоением 3-нм техпроцесса. Откуда такие возможности? О том, что Китай, несмотря на все сложности, не только держится на плаву в плане разработки и производства электроники, но и делает определенные успехи, мы уже писали. У некоторых китайских компаний достижения даже значительнее, чем можно было бы ожидать. Например, SMIC акт...

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Китайская Луна: КНР активно развивает свою программу освоения спутника Земли. Перспективы проекта Естественный спутник Земли привлекает внимание не только учёных. Целые государства развивают специализированные космические программы, направленные на освоение Луны. Похоже, что Китай действует активнее всех. Сейчас команда учёных и инженеров КНР запустила в космос новый спу...

На освоение 2-нм технологии Япония выделит около $300 млн На данную цель будет направлена лишь часть этой суммы, которую растянут на пять лет.

Samsung представила свою новую дорожную карту, отражающую планы по выпуску высокотехнологичных чипов Компания планирует выпустить чипы с техпроцессами 2-нм и 1,4-нм в 2027 году

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

Новая вакцина оказалась эффективна даже против мутировавших вариантов Covid-19 Новая вакцина против COVID-19 была разработана учеными Каролинского института в Швеции. Вакцина содержит улучшенный вариант S-белка, который является мишенью для антител. Она была протестирована в клинических испытаниях на 24 людях старше 64 лет, которые уже получили четыре ...

«Выстрел в ногу»: планы США в ветроэнергетике рушатся о 100-летний закон Амбициозный план администрации президента США Джо Байдена по освоению энергии оффшорного ветра столкнулся с неожиданным препятствием: законом столетней давности. Закон Джонса, требующий, чтобы для внутренних перевозок использовались суда, построенные, флаговые и экипажн...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Лидер «Слуги народа» заявил, что на Украине нет плана боевых действий на 2024 год О каких планах вообще может идти речь в сложившейся ситуации?

Всё о Snapdragon 8 Gen 3. Платформа рассекречена до анонса В Сеть попали характеристики и описание платформы Snapdragon 8 Gen 3, причём речь идёт о рекламных постерах.  Итак, в конфигурацию SoC входит одно ядро Prime с частотой 3,3 ГГц, пять ядер Performance с частотой 3,2 ГГц и два ядра Efficiency с частотой 2,3 ГГц. &nb...

Samsung отчиталась о распространении оболочки One UI 6.1 на 8,8 млн устройств Компания Samsung сообщила, что обновила оболочку на своих смартфонах до версии One UI 6.1 уже на 8,8 млн устройств. Причём это количество не включает линейку Galaxy S24 — эти модели уже идут с предустановленной One UI 6.1.

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Вот так работают санкции США. Для своего нового смартфона Enjoy 70 компания Huawei вынуждена использовать платформу родом из 2018 года Пока в Сети рассуждают, получат ли новые флагманские смартфоны Huawei 5-нанометровую платформу, созданную на мощностях SMIC, компания готовит смартфон Enjoy 70 с 14-нанометровой SoC в основе.  Аппарат получит платформу Kirin 710A родом из 2020 года, а фактически и...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Эксперт назвал 5 «смертных грехов» маркетинга, которые губят молодые компании В стремительно развивающемся мире маркетинговые ошибки могут стать одним из первых гвоздей в крышке гроба компаний. Специалист по маркетингу Джей Миллер раскрыл пять главных ошибок, преследующих инди-разработчиков. Эти подводные камни, скрывающиеся под поверхностью, грозят с...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Всего за четыре года выбросы Microsoft углекислого газа выросли на 30% Microsoft отчиталась в «Отчёте об экологической устойчивости за 2024 год» о росте выбросов углекислого газа на 30% всего за 4 года. Всплеск выбросов и усиление углеродного следа объясняются резкой востребованностью ИИ.

Многие страны планируют возродить исследования Луны с целью освоения Международное космическое сообщество активно строит планы на следующую эпоху исследования Луны

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

КамАЗ возвращается, как «Терминатор». Компания нарастила производство флагманских тягачей КамАЗ К5 в четыре раза КамАЗ отчитался о производственных успехах в текущем году – они есть и заметные. За первые месяцы текущего года автогигант произвел более 3 тыс. грузовиков поколения К5 – это в 2,5 раза больше, чем было произведено в 2022 году. Иными словами, КамАЗ справился...

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Любой человек может научиться пользоваться шестым пальцем всего за минуту В новом исследовании Кембриджского университета описано, как неподготовленные люди научились пользоваться новой частью тела в минимальные сроки. Речь идет об устройстве «Третий большой палец» (Third Thumb), которое разработал в качестве дипломного проекта британский дизайнер...

Наконец-то даже в самых дешёвых MacBook установлен нормальный SSD. Разборка MacBook Pro 14 показала отличия между версиями 8 ГБ ОЗУ достаточно, да, Apple? Тесты показывают, что MacBook Pro 14 M3 с 16 ГБ памяти может быть в четыре-пять раз быстрее версии с 8 ГБ Специалисты iFixit разобрали новый ноутбук MacBook Pro 14 на SoC M3 и на M3 Pro. Напомним, по параметрам они отличаются довольно си...

Насколько реально колонизировать Марс? Давайте сегодня поговорим о Красной планете — Марсе. Планета эта давно уже привлекает внимание учёных со всего мира. Каких успехов достигли Россия и СССР в исследовании Марса? И главный вопрос — реально ли освоение Марса в будущем и с какими трудностями столкнётся челов...

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

Востребованность старых техпроцессов в этом полугодии снижается Казалось бы, дефицит миновал, но на передний план выходят другие проблемы.

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

OnePlus 12 оказался популярнее OnePlus 11 Компания OnePlus отчиталась об успехах глобальной версии OnePlus 12, которая была представлена несколько дней назад. Сообщается, что предварительные продажи нового поколения оказались на 212% выше предыдущего (OnePlus 11). Напомним, что оцененная от 800 долларов (на 200...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Глава Nvidia утверждает, что через четыре-пять лет рынок ИИ только в виде ЦОД достигнет 2 трлн долларов Nvidia — та компания, которая выиграла от бума ИИ чуть ли не больше всех. И её глава считает, что этот рынок продолжит активно расти в ближайшие годы.  Дженсен Хуанг (Jensen Huang) заявил, что в ближайшие четыре-пять лет только в рамках ЦОД этот рынок будет ...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Samsung будет поддерживать смартфоны апдейтами целых 5 лет На прошлой неделе компания Google удивила пользователей, объявив, что начнёт предоставлять семь лет обновлений программного обеспечения, безопасности и операционной системы для только что анонсированных устройств линейки Pixel 8. Эта новая политика сделала Google лидером сре...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Таких процессоров Intel мы в итоге уже не получим. Компания экспериментировала с пятичиплетными CPU Meteor Lake Процессоры Intel Meteor Lake уже вышли. Они имеют до шести больших ядер и восьми малых, если не считать отдельные два ядра в чиплете SoC. Оказалось, что Intel экспериментировала с вариантами Meteor Lake с другой конфигурацией чиплетов и, возможно, большим количеством яд...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Более 70 успешных полётов Ingenuity повлияли на планы марсианской программы NASA MSR Уроки, извлечённые из работы вертолета Ingenuity, стали важной частью планов NASA по возврату образцов с Марса. 2 января Jet Propulsion Laboratories NASA сообщило о 70-м успешном полёте вертолёта Ingenuity, который состоялся 22 декабря. За время полёта длительностью 133...

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Китай запустил зонд «Чанъэ-6» для сбора образцов грунта с обратной стороны Луны Космическая программа Китая сделала очередной шаг вперед – страна запустила зонд, который должен на обратной стороне Луны собрать образцы грунта. Это стало новым достижением в амбициозных планах Китая по освоению космоса.

Слоган «Сделано в Китае» помог Huawei продавать смартфоны Mate 60 в огромных количествах Аналитики Counterpoint оценили китайский рынок смартфонов за октябрь. Это не квартальный результат, но всё равно данные весьма интересные.  Фото: Huawei К примеру, Huawei показала огромный рост на 90%, то есть почти вдвое. И это рост не в годовом выражении, а имен...

OPPO подробно рассказала о складных смартфонах на конференции Tech Week 2023 Высказывались на тему перспектив развития складных смартфонов в целом и планов компании по освоению данного сегмента рынка. Были затронуты и вопросы, непосредственно касающиеся новейших инженерных решений, обеспечивающих надежность и долговечность смартфонов с гибким экраном...

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

MediaTek Dimensity 9400 продолжит радикальный подход к дизайну, используя 3-нм техпроцесс Особенностью Dimensity 9300 стали четыре сверхбыстрых ядра Cortex-X4 и еще четыре больших ядра Cortex-A720, поэтому от Dimensity 9400 стоит ожидать ещё большой производительности.

Названы лучшие и худшие руководители IT-компаний по мнению сотрудников Согласно новому опросу, четыре из пяти сотрудников Apple одобряют работу Тима Кука на посту генерального директора.

Получится ли у Qualcomm повторить успех Apple и изменить рынок ПК? Представлены SoC Snapdragon X Elite и X Plus для ноутбуков с Windows Компания Qualcomm представила свои однокристальные системы Snapdragon X для ноутбуков с Windows.   Вчерашняя утечка была верна: компания анонсировала пять моделей SoC, четыре из которых относятся к линейке X Elite и одна является частью линейки X Plus. Будут ли он...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Орбитальная станция к 2035 году, высадка на Луну к 2040-у: Индия раскрыла свои ближайшие планы на изучение космоса Индия, вдохновлённая успехом миссии «Лунный корабль-3», уверенно движется к новым космическим горизонтам.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Путин предложил Киеву мирный план и назвал его условия Москва требует, чтобы украинская армия покинула четыре области, а власти Киева сообщили об отсутствии планов вступления в НАТО.

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Qualcomm, это еще маркетинг или уже обман? Представлена SoC Snapdragon 6s Gen 3, которая во всем уступает Snapdragon 6 Gen 1 из 2022 года Компания Qualcomm без лишнего шума анонсировала новую однокристальную систему под названием Snapdragon 6s Gen 3. И она представляет из себя вовсе не то, о чем можно было бы подумать из названия.  Во-первых, стоит напомнить, что в линейке Qualcomm есть Snapdragon 6...

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Microsoft: четыре эксклюзивные игры Xbox выйдут на PlayStation 5 и Nintendo Switch В официальном подкасте Xbox генеральный директор Microsoft Gaming Фил Спенсер подтвердил, что некоторые эксклюзивные игры Xbox появятся на консолях PlayStation 5 и Nintendo Switch. Это связано с изменением стратегии игрового подразделения Microsoft, которое теперь стремится...

Технологии мобильной связи развиваются. В Китае запустили 5.5G — что это за стандарт? Во всём мире активно развёртывают инфраструктуру мобильной связи 5G. В то же время различные компании ведут разработку 6G-технологий, реализуются и промежуточные проекты. Речь идёт прежде всего о сетях 5.5G, или 5G-Advanced. В Китае уже начали практическое освоение этого тип...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

НАСА ищет добровольцев, которые будут жить в течение года как на Марсе В пятницу НАСА сообщило, что администраторы ищут кандидатов на участие в следующем исследовательском задании НАСА, в котором будут смоделированы "проблемы миссии на Марсе", чтобы определить будущие планы по освоению Красной планеты человеком.

AMD понимает, что длительная поддержка сокетов — её преимущество перед Intel. Платформа AM5 будет поддерживаться до 2025 года и даже после него За некоторыми исключениями компания AMD предпочитает достаточно долго поддерживать свои процессорные сокеты. AM5 не будет исключением — он будет в строю ещё пару лет.  Компания объявила, что по-прежнему придерживается планов по долгосрочной поддержке AM5. В ...

Высокий процент брака и плохая энергоэффективность. У Samsung большие проблемы с 3-нм техпроцессом Ранее ходили слухи, что Samsung добилась успеха со своими 3-нм техпроцессами, а разработка 2-нм техпроцессов продвигается гладко. Но, по данным Businesskorea, 3-нм техпроцесс Samsung Foundry по-прежнему значительно уступает технологиям TSMC как по проценту бра...

Xiaomi 14 Ultra мгновенно стал хитом продаж Компания Xiaomi в лице одного из руководителей Ван Сяояня отчиталась об успехах только что представленного флагманского смартфона Xiaomi 14 Ultra. Утверждается, что спрос в рамках стартовавшего предзаказа превысил предложение. По традиции, цифры производитель не раскрыл. Нап...

Словения стала частью планов NASA по Луне и другим ресурсам космоса Словения «преисполнилась» в своих космических амбициях, подписав соглашение с NASA. Этот шаг, последовавший за Швейцарией и Швецией, делает Словению третьей европейской страной за неделю, присоединившейся к международному соглашению о «мирном освоении космоса».

Rocket Lab успешно запустила спутники для борьбы с космическим мусором Rocket Lab USA успешно запустила свою первую миссию Electron в 2024 году, посвящённую решению проблемы космического мусора. В рамках миссии «Четвёрка» (Four Of A Kind) для клиентов Spire Global и NorthStar Earth & Space, ракета Electron доставила четыре ...

Apple, а не ждёт ли нас прирост на 5%, как у Intel? Появились характеристики SoC M3, M3 Pro и M3 Max, которые компания может показать уже сегодня Уже сегодня ночью компания Apple проведёт мероприятие, на котором, кроме прочего, как ожидается, анонсирует новые SoC линейки M3.  И свежие данные от журналиста Bloomberg говорят о том, что новые платформы могут оказаться не особо-то и быстрее текущих. По крайней ...

CD Projekt Red не хочет идти по стопам Larian По мнению одного из сотрудников, успех Baldur's Gate III был обусловлен уникальной ситуацией, в которой оказалась Larian. На момент начала разработки третьей части студия балансировала между сегментами высокого/среднего бюджета, и только релиз нового проекта мог гарантироват...

Geely продала за месяц более 150 тыс. машин. Продажи взлетели на 39% Geely Automobile отчиталась о продажах автомобилей в апреле текущего года. За прошлый месяц компания реализовала 153 267 автомобилей — на 39% больше, чем в апреле 2023 года. Из этого количества чистые электромобили составили 30 892 единицы — в годо...

LG сомневается в успехе новых процессоров Intel Глава IT-отдела компании LG Electronics Юн-сок Ли посетил выставку Computex 2024, где изучал новые продукты и ответил на вопросы нескольких журналистов. И обсуждая график выпуска продуктов компании Intel эксперт сделал несколько интересных заявлений о новых процессорах покол...

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Автомобили этой компании очень популярны в России: Li Auto собралась продать 800 000 машин за год Компания Li Auto отчиталась о рекордных продажах в 2023 году, после чего генеральный директор Ли Сян заявил, что в 2024 году компания поставила перед собой ещё более высокие цели. Li Auto планирует продать за год 800 000 машин, установить рекорд по месячным продажам (бо...

Vivo X100 Ultra принес производителю 500 млн юаней Компания Vivo отчиталась об успехах флагманского камерофона X100 Ultra, который вчера появился в китайской продаже. Утверждается, что всего за первый час продаж новинка заработала 500 млн юаней. Это значит, что было продано около 75 тысяч устройств, что действительно впечатл...

АвтоВАЗ ударно потрудился в сентябре, собрав почти 46 тыс. автомобилей. При этом на территории завода скопилось 14 тыс. некомплектных авто Наступил октябрь, и значит скоро АвтоВАЗ официально отчитается о производственных успехах сентября. Но пока завод этого не сделал, данные приводят инсайдеры. По информации паблика Avtograd News, за сентябрь АвтоВАЗ собрал почти 46 тыс. товарных авто. Из них около 20 ты...

Politico: Успех ВСУ для администрация Байдена даже с новой военной помощью не предопределен Дорога ложка к обеду, а Абрамсы и Брэдли к осени 2022 года

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

AMD не боится конкуренции с Intel, даже если та достигнет технологического паритета с TSMC Техпроцесс не определяет всё в этом противостоянии.

«Нельзя смириться с тем, что космическими запусками в будущем будут заниматься исключительно американские и китайские компании», — Эмманюэль Макрон подтвердил планы Франции по созданию космического корабля Президент Франции Эмманюэль Макрон заявил, что страна намерена принять участие в разработке нового грузового космического корабля. «Разработка грузового космического корабля является одним из наших приоритетов в сфере освоения космоса в ближайшие годы», &mda...

Xiaomi Civi 4 Pro пользуется популярностью Смартфон Xiaomi Civi 4 Pro буквально пару дней назад появился в китайской продаже, но производитель уже успел отчитаться об успехах новинки. Утверждается, что продажи первой партии Xiaomi Civi 4 Pro оказались в два раза выше по сравнению с предыдущем поколением (Civi 3). В К...

Ubisoft рассказала про безумный успех XDefiant Некоторое время назад появилась неофициальная информация о том, что видеоигра Tom Clancy's XDefiant от компании Ubisoft стартовала очень успешно — инсайдеры сообщали, что эта игра стала самым быстрорастущим проектом от компании Ubisoft, а онлайн проекта преодолел отметку в 1...

Toyota построит дом на колесах для и жизни на Луне Топ-менеджер НАСА Билл Нельсон и министр образования, культуры, спорта, науки и технологий Японии Масахито Морияма подписали знаковый договор. Согласно ему, Японское космическое агентство (JAXA) предоставит американцам полнофункциональное транспортное средство для освоения л...

ВДВ РФ прорвали оборону на самом сложном участке под Северском и форсировали раздоловский отрезок За эту украинскую линию обороны бои шли с переменным успехом полтора года.

Новый «расстрельный» список Xiaomi: какие смартфоны Xiaomi и Poco больше никогда не будут обновляться официально Компания Xiaomi обновила список смартфонов, которые подошли к концу своей жизни и больше не получают официально поддержки в виде обновлений ПО - не только новых функций, но и обновлений безопасности.  Список довольно обширен и теперь пополнился сразу несколькими м...

iPhone 15 Pro позволил Apple заработать 70 млрд за первый квартал 2024 года На протяжении нескольких кварталов компания Apple демонстрировала незначительный спад в прибыли, вызванный падением уровня продаж ноутбуков и планшетов, но теперь компания отчиталась о финансовых успехах за Q1 2024 (первый квартал 2024 года), оценив свою выручку в 119,6 милл...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Toyota строит дом на колесах для путешествий и жизни на Луне Топ-менеджер НАСА Билл Нельсон и министр образования, культуры, спорта, науки и технологий Японии Масахито Морияма подписали знаковый договор. Согласно ему, Японское космическое агентство (JAXA) предоставит американцам полнофункциональное транспортное средство для освоения л...

MediaTek нанесла сильный удар по Qualcomm: смартфоны на MediaTek будут получать обновления ПО дольше Xiaomi удивила, сообщив о том, что смартфоны новой линейки Xiaomi 13T будут обновляться в течение пяти лет – получат четыре обновления Android и еще год будут выходить обновления безопасности. Однако, как оказалось, такое стало возможным благодаря усилиям MediaTek...

Как сделать вывод на LED дисплей за один семинар с нуля Тут пришло приглашение на семинар по освоению программирования RA8M1. Предлагалось сделать четыре лабораторных работы и бесплатный обед с кофе-брейками. В заключении за труды получить очередную плату EK-RA8M1. От такого не отказываются. Хороший повод найти применение плате...

SpaceX назвала взрыв обеих ступеней Starship успехом Вторая попытка вывода в космос корабля Starship вновь не увенчалась успехом, однако представители компании SpaceX трактуют этот запуск как значительное достижение. Они по-своему правы – тернистый путь освоения космоса целиком состоит из ошибок и поисков способов их исправлен...

АвтоВАЗ стремительно избавляется от некомплекта: от 12 тыс. Lada Vesta осталось уже 7 тыс. АвтоВАЗ отчитался о рекордных продажах за 10 лет, но при этом производство автомобилей сократилось (например, Vesta сейчас собирают в одну смену против двух ранее). Откуда же берутся новые автомобили? Все очень просто: завод дорабатывает машины, которые складировал...

[Перевод] Наземные лазеры смогут разгонять космические аппараты на пути к другим звёздам Будущее освоения космоса включает в себя довольно амбициозные планы по отправке миссий на большие расстояния. Помимо текущих предложений по созданию инфраструктуры в окололунном пространстве и отправке регулярных экипажей на Луну и Марс, существуют также планы по отправке ро...

Собрано уже более 250 тыс. предварительных заявок на покупку смартфонов Meizu 21, хотя до премьеры ещё четыре дня Meizu отчиталась о том, что на смартфоны новейшей линейки Meizu 21 собрано уже более 250 тыс. предварительных заявок на покупку. Объем предзаказов приближается к рекорду, установленному моделями линейки Meizu 20 – он составил 350 тыс. Учитывая, что до официальной ...

Samsung Exynos 2500 получит новое производительное ядро Cortex-X5 Только недавно появились первые тесты производительности и эффективности процессора Exynos 2400, как в сети уже публикуют достаточно подробную информацию о процессоре Exynos 2500, который в ближайшем будущем должен привлечь внимание к продукции компании Samsung, ведь новый ч...

Это уже уровень Tesla: Geely отчиталась за 2023 год Компания Geely Automobile объявила, что общий объем продаж группы в декабре 2023 года составил 150 453 автомобиля, что примерно на 3% больше, чем за тот же период прошлого года. Общий объем продаж за весь 2023 год составил 1 686 516 единиц, увеличившись примерно на 18% ...

Перечислены все функции ИИ, которые перейдут из смартфонов Samsung Galaxy S24 в линейку Galaxy S23 Британское подразделение Samsung опубликовало интересную инфографику: картинка наглядно демонстрирует функции Galaxy AI, которые уже реализованы в новейших флагманах Galaxy S24 и которые перейдут в линейку Galaxy S23. Таких функций четыре: Circle to Search, Live Transl...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

Илон Маск получил Всемирную космическую премию IAF 2023 Глава SpaceX Илон Маск был объявлен лауреатом почетной Всемирной космической премии IAF 2023 на 74-м Международном конгрессе астронавтики, который состоялся Баку. Эта награда считается одной из самых престижных в мире в области исследования космоса, она признает отдельн...

В «Ростехе» заявили о плане по восстановлению производства самолётов ДРЛО А-50У Они требуются не только в ВС РФ, но и «хорошо идут на экспорт».

Honor X50 распродан в количестве 10 млн штук Компания Honor отчиталась об успехах смартфона Honor X50, который появился в продаже в июле прошлого года. За 10 месяцев продаж аппарат разошелся в количестве 10 миллионов экземпляров. Это делает его одним из самых популярных смартфонов бренда за последние годы. Напомни...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Оснащение домашней мастерской. Ввод в строй лабораторных электроприборов Речь идёт о деревенской мастерской и освоении в ней азов электровакуумного дела. Небольшими силами и средствами. Попутно и кроме этого здесь занимаюсь и слесарными мелочами, пайкой, вознёй с химикатами и прочим в этом духе. Увы, оснащаться приходится по случаю и не самыми л...

Ростех: Работа над современным стратегическим бомбардировщиком ПАК ДА идёт по плану В любом случае, проект от реализации ещё крайне далёк.

Китай на Луне: Поднебесная добилась огромных успехов в изучении спутника Земли. Что их ждет дальше? Источник: rbc В истории освоении космоса есть периоды высокой активности разных стран, а есть годы, в которых почти ничего не происходило. Но за последние несколько лет появилось настолько много событий, что для краткого описания не хватит и нескольких томов. В тексте ра...

Экран Dynamic AMOLED 2X, 4500 мА·ч, пять лет обновлений. Раскрыты все характеристики Samsung Galaxy S24 FE Премьера смартфона Samsung Galaxy S24 FE ожидается в июле на большом мероприятии Unpacked, но ресурс Smartprix уже раскрыл все характеристики этого аппарата. Будущий «фанатский» флагман получит экран Dynamic AMOLED 2X с диагональю 6,1 дюйма и кадровой часто...

Слишком дорого даже для Apple. Экран MicroLED для новых часов Watch Ultra в четыре раза дороже экрана OLED Компания Apple, согласно ранним слухам, собирается использовать экраны MicroLED для своих умных часов Watch Ultra в обозримом будущем. Однако оказалось, что эти экраны слишком дороги.  Сообщается, что изначальные планы выпуска таких часов в 2026 году могут оказать...

Capcom планирует выпустить еще больше ремейков Resident Evil Capcom подтвердила, что планирует выпустить еще больше игр Resident Evil, т.к. успех прошлых ремейков укрепляет будущие планы разработчика игры в отношении франшизы.

Процессоры AMD Kraken Point предположительно будут иметь четыре ядра Zen 5 и четыре ядра Zen 5c Согласно утечкам, он будет основан на ядрах процессора Zen 5, ядрах графического процессора RDNA 3.5 и аппаратном обеспечении NPU XDNA 2, все они будут изготовлены по техпроцессу N4 TSMC.

8 ГБ ОЗУ достаточно, да, Apple? Тесты показывают, что MacBook Pro 14 M3 с 16 ГБ памяти может быть в четыре-пять раз быстрее версии с 8 ГБ Компания Apple недавно заявила, что 8 ГБ ОЗУ на MacBook Pro M3, вероятно, аналогичны 16 ГБ в других системах. И пусть такое заявление крайне сложно проверить, теперь точно можно сказать, что 8 ГБ для нового MacBook Pro – это проблема как минимум в некоторых прилож...

Представьте себе ноутбук, у которого и CPU, и GPU выпущены Nvidia. Компания готовит SoC для Copilot+ PC Компания Nvidia, похоже, действительно собирается выпустить собственную однокристальную систему для ПК. Видимо, как раз для новейших Copilot+ PC.  Во время интервью с участием глав Nvidia и Dell глава второй заявил, что стоит дождаться следующего года. Это был отв...

Эксперты Crypto com Research отчитались о развитии индустрии блокчейн-игр Исследователи Crypto.com Research опубликовали новый отчет. Он полностью посвящен индустрии блокчейн-игр

Снова люди будут бояться создания чёрной дыры на Земле? CERN продвигают проект нового ускорителя частиц, который будет в семь раз мощнее БАК Большой адронный коллайдер (БАК) является самым крупным ускорителем частиц в мире. Он останется таковым ещё долго, но в CERN уже продвигают планы по созданию намного более крупного коллайдера.  создано DALL-E Изначально CERN обнародовал планы по созданию нового ус...

Марсианские хроники: анализ российских патентов в сфере освоения красной планеты Климат Марса, хотя и не пригоден для высокоразвитых форм жизни, является наиболее близким к земному, а сама планета является ближайшей целью исследования и освоения дальнего космоса ведущими мировыми игроками. И Россией в том числе. Не так давно мы опубликовали на Хабре дета...

7 мест, полный привод и 220 л.с. в топовой версии. В Китае стартовали продажи Skoda Kodiaq 2024 и Kodiaq GT 2024 Продажи Skoda в Китае снижаются год от года, но компания пока не собирается идти по пути Mitsubishi. Доказательство тому – старт продаж в Китае Kodiaq образца 2024 модельного года. Однако этот Kodiaq по-прежнему является моделью первого поколения – той, что ...

Санкции США обошли, а денег заработать не смогли. SMIC отчиталась об огромном падении чистой прибыли Компания SMIC отчиталась за третий квартал, который для неё завершился огромным падением прибыли.  создано DALL-E Чистая прибыль в годовом выражении рухнула на 80%, до 94 млн долларов, тогда как во втором было зафиксировано падение на 64%. Выручка составила 1,62 м...

Honor празднует победу. Компания вырвалась в лидеры на китайском рынке складных смартфонов Компания Honor продолжает набирать обороты на глобальном и домашнем рынках, а заодно демонстрировать успехи на рынке складных смартфонов. Согласно свежим данным аналитиков Omdia, по итогам текущего года Honor произведёт более 1 млн таких устройств.  Кроме прочего,...

Минобороны РФ подтвердило начало наступления в Харьковской области силами группировки войск «Север» Установлен контроль над пятью населёнными пунктами. Наступление идёт очень аккуратно.

Копирующая «Героев Меча и Магии» стратегия Songs of Conquest разошлась тиражом 500 тыс. копий — четыре DLC уже в планах Кому «олдскула»?

Инсайдер утверждает, что Honor Magic6 Pro будет обладать ведущим набором камер На этот раз компания не собирается идти ни на какие компромиссы в плане качества съёмки

Даже слайды самой Intel не обещают никакого прорыва в производительности CPU Meteor Lake, хотя обещают какие-то чудеса в вопросе энергоэффективности Компания Intel уже сегодня представит процессоры Meteor Lake, но в Сеть попали некоторые слайды с презентации.  На них Intel сравнивает свой новый CPU Core Ultra 7 165H с Ryzen 7 7840U в 28-ваттном режиме. Если точнее, сравнивает iGPU.  В таком сравнении нови...

Четыре или пять бомб оставляют пустоту от позиций ВСУ — экс-генерал рассказал об эффективности ВКС Причем глубокие окопы не спасают украинских солдат и офицеров

Экономический эффект Марка Твена Не в честь каждого называют экономический эффект. Марк Твен знатно потрудился, чтобы это звание закрепилось за ним в веках. Инвестировать он начал одновременно с карьерой журналиста и писателя. И если перо принесло ему успех на литературном поприще, то вот игры с инвести...

iPhone 15 Pro Max признан DxOMark лучшим в мире камерофоном, но только по части фронтальной камеры Не сенсационно и вполне ожидаемо iPhone 15 Pro Max возглавил рейтинг фронтальных камер DxOMark. Отрыв от идущего на втором месте iPhone 14 Pro впечатляет: эти модели разделяет целых четыре балла. Интересно, что iPhone 15 Pro Max стал лучшим даже несмотря на то, что на ...

«Курсы» — новый раздел Лайфхакера для тех, кто любит учиться Более 9 000 программ: от подготовки к ЕГЭ до освоения новой профессии с нуля.

Рассекречен новый автомобиль Changan с двумя разными силовыми установками. Первые детали о модели Deepal S05 Changan собирается выпустить новый кроссовер Deepal, который будет доступен как в полностью электрическом исполнении, так и в гибридном варианте. Автомобиль под названием Deepal S05 (C857) появились в базе Министерства промышленности и информационных технологий Китая. О...

Российский мобильный оператор Tele2 подал в Роспатент пять заявок на новый логотип Команда российского оператора Tele2 сообщила о планах провести ребрендинг до конца 2024 года. Как отметили в пресс-службе, компания уже разработала проект, сохраняющий преемственность с текущим брендом.

Новейшая Toyota Camry не стала хитом в Китае даже несмотря на невысокие цены. За два месяца собрано всего 8 тыс. предзаказов Совместное предприятие GAC Toyota отчиталось о продажах автомобилей в феврале текущего года: за прошлый месяц компания реализовала 43 271 автомобиль. Для сравнения, в январе продажи GAC Toyota составили 71 875 автомобиль. Падение продаж объясняют Новым годом в...

Главком ВСУ Сырский сообщил об обострении ситуации на поле боя и признал успехи ВС РФ Украинский генерал признал тактический успех российской армии на некоторых направлениях.

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Умный дом с котом: мой опыт автоматизации домашней рутины Как автоматизировать дом, чтобы кошачий лоток отправлял в «Телеграм» сообщения, что его пора почистить, а на экране ТВ появлялось сообщение, что ванная комната освободилась. Об этом рассказал наш комьюнити‑менеджер Игорь Губайдуллин, инжене...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Xiaomi представила пять новых телефонов серии Redmi Note Технологическая компания Xiaomi начала текущий год с грандиозной премьеры - представлением пяти новых моделей смартфонов серии Redmi Note

В ВКС РФ отчитались о поступлении новой партии многоцелевых истребителей Су-35С "Тридцать пятые" способны поразить F-16 на расстоянии в 400 километров модернизированными КР Р-37М

Мексиканские картели получают все больше новейшего вооружения из-за конфликта в Востойной Европе Министр обороны Мексики Луис Кресенсио Сандовал: "Американское тяжелое вооружение находится в руках у картелей. Пять зенитных ракетных установок были обнаружены у картеля "Новое поколение Халиско", четыре были изъяты у конкурирующего картеля "Синалоа" и еще три у других карт...

Microsoft заявила, что четыре эксклюзива Xbox будут выпущены на PlayStation 5 и Switch Но не стала уточнять, о каких эксклюзивах идёт речь.

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Французский оператор дата-центров Data4 инвестирует €500 млн в новый кампус в Милане Data4, французский оператор дата-центров, объявляет о планах инвестирования €500 млн ($535 млн) в новый кампус ЦОД в Милане. Кампус Mil2 будет построен на территории 77 тыс. м2 и будет состоять из четырех объектов. Это важный шаг для Data4 в развитии своей бизнес-стратегии и...

Флагманы на Snapdragon 8 Gen 4 получат аккумуляторы увеличенной ёмкости, но это не про Samsung Galaxy S25 Инсайдер, известный в соцсети Weibo под ником Digital Chat Station, сообщил о том, что флагманы нового поколения, построенные на Snapdragon 8 Gen 4, получат аккумуляторы увеличенной емкости — 5700, 6000 и даже 6500 мАч. Но Samsung пойдет своим путём.· По д...

ИИ-помощник Copilot для офисного пакета Microsoft 365 отныне понимает русский язык Microsoft отчиталась о добавлении поддержки 16 новых языков, в списке которых есть и русский.

Люди приняли видеоролики, созданные нейросетью OpenAI Sora, за настоящие видео из реальногомира Пользователям предложили отличить видео, записанные людьми, от видео, созданных с помощью новой нейросети OpenAI Sora. Результаты опроса, проведенного HarrisX, опубликовало издание Variety. В ходе опроса большинство взрослых американцев неправильно угадали, кто создал п...

AMD готовит GPU с 288 ГБ памяти. Ускоритель Instinct MI325X выйдет уже в этом году Ускорители для ИИ AMD Instinct 300 предлагают рекордный для рынка объём памяти до 192 ГБ, а теперь компания рассказала, что уже в этом году выпустить модель с 288 ГБ памяти!  Такой моделью будет Instinct MI325X. Она получит 288 ГБ памяти HBM3e. Пропускная способн...

Xiaomi провела самую успешную в истории распродажу Xiaomi отчиталась о том, как для нее прошла крупнейшая распродажа года 618, которая завершилась в Китае только вчера. Совокупная сумма покупок превысила 3,6 млрд долларов, что позволило зафиксировать абсолютный рекорд за всю историю подсчета такой статистики. Лэй Ц...

Совершенно новый Kia Sorento вышел на рынок Казахстана. 2,5-литровый атмосферный мотор, «автомат» и полный привод за 3,8 млн рублей (18,69 млн тенге) В Казахстане начали продавать совершенно новый Kia Sorento (обновленный внедорожник дебютировал под конец прошлого года), причем это машины местной сборки. Внедорожник доступен в четырех комплектациях, но выбора из моторов и коробок передач нет: 2,5-литровый атмосферный...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Samsung, Apple, Vivo и Huawei сделали это быстрее: Realme продала более 200 млн смартфонов Китайский производитель смартфонов Realme заявил, что с момента своего основания чуть более пяти лет назад он продал более 200 миллионов телефонов, большинство из которых реализованы за пределами Китая. Данные компании Counterpoint Research, занимающейся рыночным а...

Li Auto выпустила уже 10 тыс. кроссоверов Li Auto L6 Li Auto наращивает объемы производства новейшего кроссовера Li Auto L6. На рынок машина вышла лишь 18 апреля, поначалу отгрузки исчислялись сотнями, но уже сейчас компания отчиталась о выпуске 10 тыс. машин. В следующем месяце объем выпуска доберется до отметки в 20 тыс...

Татьяна Бакальчук (Wildberries) про конкурентов, новые рынки и планы Wildberries сейчас уже слово нарицательное, даже есть цвет Wildberries

Пресс-секретарь Пентагона заявила, что поставки на Украину снарядов идут согласно плану Никакого снижения поставок нет.

Россия начнёт продавать водород через пять лет В Росатоме рассказали о планах экспорта водорода в страны Азии через пять лет

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

Android-малварь Anatsa скачали из Google Play более 150 000 раз За последние четыре месяца операторы банкера Anatsa провели пять кампаний, направленных на пользователей из Великобритании, Германии, Испании, Словакии, Словении и Чехии, сообщают аналитики ThreatFabric. Суммарно вредоносные приложения Anatsa загрузили из Google Play более 1...

Bloober Team: работа над ремейком Silent Hill 2 идёт по плану и соответствует нашему графику В польской студии призвали игроков проявить чуть больше терпения касательно новостей о переиздании хоррора.

1196 л.с., уникальная подвеска, запас хода 1000 км, танковый разворот и режим плавания. Более 4000 человек уже заказали премиальный BYD YangWang U8 Китайский автопроизводитель BYD рассказал о первых успехах своего внедорожника BYD Yangwang U8, который был представлен на Шанхайском автосалоне в апреле 2023 года. Менеджер по продажам Yangwang сообщил, что с момента публичного дебюта Янвана на Шанхайском автосалоне в...

Amazon вложит $9 млрд за четыре года в развитие облачной инфраструктуры в Сингапуре Amazon объявила о планах инвестировать $9 млрд в развитие облачной инфраструктуры в Сингапуре, удвоив свои вложения в стране.

На Украине заявили, что подготовка инфраструктуры к приёму F-16 идёт согласно плану Процесс идёт, никто бросать Украину как инструмент ослабления РФ не собирается. По крайней мере, пока.

Учёный объяснил, зависят ли спортивные успехи только от генетики Известно, что определённую роль в становлении ребёнка-спортсмена играют гены и воспитание. Но значит ли это, что без хорошей наследственности успехов в этой сфере не стоит ожидать?

Минобороны РФ отчиталось о впервые примененных в боях на передовой ударных вертолетах Ка-52М на СВО Новые Аллигаторы стали куда более приспособленные к зиме

Робот, ты нелюдь! Статья, которая ничего не изменит Я хорошо помню начало бума IVR в России: с появлением доступной IP-телефонии компании бросились заказывать и самостоятельно проектировать схемы голосовых меню. Казалось, найдена таблетка от всего: можно сокращать размер колл-центров, экономить время сотрудников и клиентов, н...

Sony добилась успеха с PlayStation 5, несмотря на пандемию и дефицит компонентов Продажи PS5 могут даже превзойти показатели PlayStation 4 к концу жизненного цикла.

Новые мобильные телефоны серии iQOO 12 будут оснащены пыле- и водонепроницаемостью IP6 Даже если будет идти дождь или произойдет затопление, он легко с этим справится.

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Сооснователь Tornado Cash приговорен к пяти годам и четырем месяцам тюрьмы Алексей Перцев, один из разработчиков криптовалютного миксера Tornado Cash, был приговорен к 64 месяцам тюремного заключения в Нидерландах за участие в отмывании криптовалюты на сумму более 2 миллиардов долларов.

Super Flower представила блок питания Leadex Platinum 2800W для питания сразу четырех RTX 4090 Гигантский блок питания Super Flower Leadex Platinum 2800W может работать с четырьмя графическими процессорами GeForce RTX 4090 одновременно и имеет четыре разъема 12V-2×6

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

Пять причин дождаться iPhone 16 Pro и даже не смотреть на iPhone 14 Pro и iPhone 15 Pro Ждем!

Япония добилась успеха в запуске ракеты H3 со второй попытки Второй испытательный полет новой флагманской японской ракеты H3 завершился наконец успешно: она достигла орбиты и вывела на нее два спутника наблюдения. Этот успех пришел после неудачного дебютного запуска в прошлом году и придал столь необходимый импульс космической програм...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Алжирское издание рассказало про причину успеха русской контрбатарейной борьбы Даже старые гаубицы становятся высокоточной артиллерией.

Как я проектирую формы В начале карьеры проектирование любой формы казалось мне очень простой и понятной задачей. Особенно когда речь шла о чём-то банальном и незначительном, вроде обратной связи на сайте. А что — выяснил, какие нужны данные и для чего, накидал поля с подписями, приделал кнопку — ...

Разработчик малвари TrickBot приговорен к пяти годам и четырем месяцам тюрьмы Гражданин России Владимир Дунаев приговорен к пяти годам и четырем месяцам лишения свободы за участие в создании и распространении малвари Trickbot, которая использовалась для атак на компании, медицинские учреждения и частных лиц по всему миру.

Импортозамещение по-американски: развертывание собственного производства полупроводников идет не совсем по плану В 2022 году мы опубликовали статью «Импортозамещение по-американски: крупнейшие производители полупроводников мира строят фабрики в США». В ней говорилось о том, что американцы собираются нарастить свое присутствие на глобальном рынке полупроводниковых элементов. Дело в том...

Qualcomm представила свои однокристальные системы Snapdragon X для ноутбуков с Windows Вчерашняя утечка была верна: компания анонсировала пять моделей SoC, четыре из которых относятся к линейке X Elite, а одна является частью линейки X Plus.

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Почему сегодня крайне важна грамотность в области искусственного интеллекта Грамотность в области искусственного интеллекта: новый необходимый навык. Его освоение – это вопрос часов, а не лет.

После успеха Chandrayaan-3 Индия готовится к миссии по возвращению образцов с Луны - в неё входит высадка массивного 350-килограммового лунохода Основываясь на недавнем успехе своей первой в истории высадки на Луну, Индия намерена теперь собрать образцы около южного полюса Луны и вернуть их на Землю. Источник: ISRO По предварительным данным, в Индийской организации космических исследований ISRO планируют о...

Семантические ловушки асинхронности: Ключи к разгадке и эффективному освоению тем Task, Синхронность, Асинхронность При освоении новых знаний мы, так или иначе, стараемся связать их с уже освоенными знаниями, в связи с чем при изучении и без того непростых абстракций, в терминах которых заложен свой особый смысл, нередко заходим в тупик.Такая ситуация имеет место когда...

Искусственный интеллект назвал пять криптовалют, которые станут лучшими в 2024 году Недавно Google выпустила новую модель искусственного интеллекта Gemini. Эксперты протестировали расширенные возможности новой системы: ИИ рекомендует присмотреться к пяти криптовалютам в 2024 году.

Иран планирует отправить человека в космос в течение следующих пяти лет Иран анонсировал планы по отправке человека в космос в течение 5 лет. Основной задачей для реализации миссии является создание биокапсулы, испытания которой запланированы на ближайшие месяцы.

Совершенно новые процессоры Intel, у которых будет максимум восемь ядер и распаянная ОЗУ. Рассекречены CPU Lunar Lake-MX Пока Intel готовится представить процессоры Meteor Lake, в Сеть попало много данных о CPU Lunar Lake. Если точнее, о Lunar Lake-MX, хотя стоит сказать, что ранее приставки MX мы у Intel не видели.  Стоит напомнить, что Lunar Lake создаются, как максимально энергоэ...

Наконец-то действительно новые процессоры AMD. Компания подтвердила выход CPU на архитектуре Zen 5 в этом году Компания AMD сегодня не только отчиталась за финансовый год, но и подтвердила примерные сроки выхода новых процессоров Ryzen.   Сотрудник Tom’s Hardware говорит, что AMD подтвердила выход процессоров на архитектуре Zen 5 во второй половине текущего года. Точ...

Премиум-домен - путь к успеху Бизнесмен Майкл Сантьяго рассказал о том, как топовый домен позволил ему в достичь успеха в бизнесе.

Это системная плата или вертолёт? ASRock WRX90 WS EVO имеет пять вентиляторов, семь слотов PCIe и восемь слотов для ОЗУ Системная плата Asus TRX50-SAGE для процессоров Ryzen Threadripper 7000, как оказалось, выглядит вполне нормально на фоне моделей ASRock WRX90 WS EVO и TRX50 WS.  Если устройство Asus впечатляет 36-фазной подсистемой питания и размерами системы охлаждения сразу с ...

Agile manifesto (human remix) В управлении большими веб-проектами чаще всего применяют принципы классического американского project management — щепитильное создание плана работы и четкое его выполнение. Строгие отчеты, хитрые графики и презентации в power point (утрирую). Как оппозицию, все чаще ставят...

Почему Disney сверхпопулярен сейчас даже несмотря на все эти инклюзивности и прочее разнообразие 5 причин успеха компании в канун 100-летенего юбилея студии

Россия увеличивает количество своих военных спутников на околоземной орбите МО РФ отчиталось о выводе нового спутника

В Израиле разработали план эвакуации палестинцев из зон боевых действий, чтобы расширить операцию В частности речь идёт об эвакуации палестинцев с южной части сектора Газа, куда планируют наступать израильские военные.

«О сборке Tiggo 9 речь однозначно не идёт и не шла», — в «Автодоме» не подтвердили планы по выпуску машин Chery на заводе Mercedes Компания «Автодом», которая с апреля этого года владеет активами Mercedes-Benz в России, не подтвердила опубликованную сегодня информацию о подготовке производства автомобилей Chery на заводе в подмосковном Есипово. «О сборке указанных моделей Tiggo 9 ...

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

В десятку самых продаваемых в мире смартфонов вошли четыре iPhone и шесть Samsung Новый отчет Counterpoint Research полностью посвящен двум именам, в нем нет Xiaomi, Huawei, Nokia, Motorola, BlackBerry и даже постоянно восходящие звезды Oppo, Vivo или Realme

Вторичные инновации 5G – намечая новый курс для успеха в бизнесе   Во время MWC Shanghai 2024 Чэнь Хао (Chen Hao), президент по операторскому бизнесу Huawei, выступил с программной речью. Он отметил, что в то время как первичные инновации приносят технологические дивиденды, вторичные инновации ускоряют успех бизнеса, и отметил, что в...

"Эспрессо": В ВСУ не хватает опытных бойцов, а средний возраст мужчин в армии превысил 40 лет Между тем идти в украинскую армию желающих все меньше – в свое время сам Зеленский четыре раза отказался "дойти" до родного военкомата в Днепре

Популярные внедорожники Tank не будут собирать в России – их по-прежнему будут привозить из Китая Глава российского офиса бренда Tank Александр Чеховский сообщил, что планов по локализации в России моделей Tank 300 и Tank 500 нет, хотя у Great Wall Motor есть завод в Тульской области. Изображение: Tank «Пока что планов по выпуску автомобилей Tank на заводе не...

Экс-министр Рева: ВС РФ всего за три дня пешком превзошли успехи контрнаступления ВСУ прошлым летом Бывший министр социальной политики Украины Андрей Рева раскритиковал действия украинских властей и ВСУ в Харьковской области, сравнив их с "потемкинскими деревнями". По его словам, российские военные всего за три дня пешком прошли дистанцию, на которую украинцам понадобилось...

Carl Zeiss отмечает рекордный годовой оборот более 10 миллиардов Евро Компания Carl Zeiss сообщила о достижении рекордного годового оборота свыше 10 миллиардов евро, благодаря успеху в секторе полупроводников и планам по инвестированию в цифровую трансформацию.

ВС РФ освободили Артемовское в ДНР, которое не смогли в свое время взять даже ЧВК "Вагнер" Тактический успех получилось развить прекрасным взаимодействием авиации, артиллерии и штурмовиков

Politico: Украинцы все больше сомневаются в успехе ВСУ в конфликте с РФ Наступление задом наперед на российские позиции украинской армии замечено даже в глубоком тылу

Xiaomi перестанет выпускать обновления Android для пяти актуальных смартфонов Хотя компания гарантирует минимум обновлений для каждого из своих новых смартфонов, есть пять конкретных моделей, которые, несмотря на то, что уже поступили в продажу, к сожалению, не получат больше обновлений Android.

Инсайдер: В разработке находятся еще четыре сиквела MCU По словам Дэниела Рихтмана, Marvel собирается начать работу над четырьмя новыми сиквелами MCU.

Activision: «В наших планах нет ПК-версии Call of Duty Mobile» Ранее появились новости о том, что ПК-версия Call of Duty Mobile доступна для тестирования в Китае. Тогда казалось, что это начало чего-то нового — редакция AppTime протестировала такую версию CoDM и осталась в восторге от того, что она оптимизирована даже на ноутбуках с дис...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Титановая рамка, «нанокожа», IP68, 5300 мАч, 50-мегапиксельная квадрокамера Leica и спутниковая связь — дорого. Xiaomi 14 Ultra Titanium Specia Edition поступил в продажу в Китае Сегодня в Китае стартовали продажи флагманского смартфона Xiaomi 14 Ultra Titanium Special Edition. Особенность указана в названии — у этой модели титановая рамка корпуса. Смартфон представлен в единственной конфигурации с 16 ГБ ОЗУ и 1 ТБ флеш-памяти, цена &mdash...

Представлена SoC Snapdragon 8s Gen 3, и это не новый флагман. Платформа становится на ступень ниже Snapdragon 8 Gen 3 Компания Qualcomm представила новую SoC Snapdragon 8s Gen 3. Вопреки некоторым предположениям, это решение уровня чуть ниже Snapdragon 8 Gen 3, а не выше.  В последнее время Qualcomm почему-то не раскрывает некоторые параметры. В данном случае, к примеру, мы не зн...

Toyota приостановила производство на четырех заводах из-за фальсификации данных Японский автомобильный концерн Toyota Motor временно приостановил работу шести производственных линий на четырех заводах в Японии из-за фальсификации данных о мощности дизельных двигателей, предоставленных подрядчиком Toyota Industries Corporation. Эту информацию переда...

Строительство кампуса Apple стоимостью $1 млрд отложили Амбициозный проект кампуса Apple в Северной Каролине стоимостью 1 миллиард долларов отложен на четыре года, сообщают СМИ. Первоначально объявленный в 2021 году, проект включает в себя планы по строительству нового инженерного и исследовательского центра, способного принять д...

Это первая действительно удачная топовая SoC Exynos за многие годы? Samsung раскрыла технические подробности об Exynos 2400 Однокристальная система Exynos 2400 в тестах, которые уже успели попасть в Сеть, показывает себя очень неплохо, порой опережая даже Snapdragon 8 Gen 3. И Samsung лишь сейчас раскрыла все подробности об этой платформе.  Итак, как мы знали и ранее, Exynos 2400 выдел...

На бывшем заводе Volvo в Калуге хотят собирать 12 грузовиков в день АО «Автомобильное моторное общество», которое сейчас производит грузовые автомобили на бывшем заводе Volvo в Калуге, планирует вдвое увеличить дневной выпуск грузовиков. По словам исполнительного директора компании Романа Петряева, работы идут в соответствии...

Apple, как же так? Поддержка iPhone 15 продлится меньше, чем флагманов Samsung или Google Apple известна длительным периодом поддержки программного обеспечения для своих iPhone, но компания никогда официально не обнародовала конкретный срок поддержки. Совсем иначе обстоит дело в мире Android, причем Google и Samsung находятся в авангарде, обещая семь лет под...

Поставщиком титана для Samsung Galaxy S24 Ultra выступит китайская компания Solomon Корейский ресурс TheElec рассказал подробности о будущем флагмане Samsung Galaxy S24 Ultra. Он подтвердил, что боковая рамка Galaxy S24 Ultra будет выполнена из титанового сплава, а поставщиком этого сплава выступит китайская компания Solomon. Источники TheElec со...

Упаковка Google Pixel 8 подтвердила дизайн и характеристики смартфона На сайте Mydrivers опубликовали реальные фотографии упаковочной коробки смартфона Google Pixel 8. На верхней части коробки видно, что хотя расположение камер Pixel 8 такое же, как у Pixel 7, сами модули явно больше, чем у Pixel 7. Ожидается, что смартфон получит светочу...

Истребители Mirage 2000 Украине могут поставить Франция, Греция, Катар и Бразилия Четыре страны на разных стадиях готовности в плане поставок Украине истребителей Mirage 2000.

Почему даже с учётом новых функций Google Pixel 8 хуже большинства смартфонов-конкурентов Накануне вечером Google провела презентацию Made by Google, на которой показала, на что способна в плане железа на конец 2023 года.

Инсайдерская информация: в успех iPhone 16 не верит даже сама Apple Отраслевой аналитик Мин-Чи Куо поделился своим анализом цепочки поставок комплектующих для iPhone на 2024 год и сообщил, что она значительно изменилась по сравнению с прошлым годом

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Украинские военные опасаются, что 2024 год будет тяжелее 2022 и 2023 годов Всё меньше людей верит в успех украинских войск на поле боя, включая даже бойцов ВСУ

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

TSMC совсем не боится успехов Huawei TSMC, ведущий мировой производитель полупроводников, преуменьшила потенциальные угрозы, связанные с недавними успехами Huawei в разработке микросхем. Во время собрания акционеров руководители TSMC отметили значительный технологический разрыв и подчеркнули влияние экспортных ...

Intel, тут ведь даже и 5% прироста нет. Опубликованы тесты Core i9-14900K/KF, Core i7-14700K/KF и Core i5-14600K/KF Вчера компания Intel представила процессоры Core i9-14900K/KF, Core i7-14700K/KF и Core i5-14600K/KF поколения Raptor Lake Refresh. Сегодня в Сети появились их обзоры. Напомним, только модели Core i7 получили больше ядер, чем их предшественники, тогда как все остальные...

Власти США выделили $285 млн на субсидирование создания цифровых двойников в национальном полупроводниковом производстве Это позволит оптимизировать освоение новых технологий при выпуске чипов.

OnePlus представила доступный планшет Pad Go Сегодня компания OnePlus представила свой новейший планшетный компьютер OnePlus Pad Go, который предлагает упрощённые характеристики по сравнению с OnePlus Pad, при этом имея гораздо более привлекательную стартовую цену. Начать стоит с того, что Pad Go оснащён 11,35-дюймовым...

Аналитики из Польши считают, что Запад не заинтересован в военных успехах Украины Аналитики из Польши высказали мнение, что страны Запада изначально не рассчитывали на быструю победу Украины и не предоставили Киеву необходимого вооружения для добивания решающего успеха в конфликте

Apple должна внедрить Safari для гарнитуры Vision Pro или рискнуть успехом Гарнитура Vision Pro от Apple скоро выйдет на рынок, и многие задаются вопросом, насколько успешной она будет. Одним из ключевых факторов успеха гарнитуры станет наличие приложений, а особенно приложений для серфинга в Интернете, пишет The Verge.

5 новых игр от Insomniac Games: продолжение Паука, Веном, Люди Икс и даже Ratchet & Clank Сегодня в сети появилось множество файлов от игровой студии Insomniac, слитое хакерской группировкой из-за невыполнения её требований. Мы уже рассказали обо всей известной информации о Marvel's Wolverine в отдельной новости, а теперь речь пойдёт про другие скорые и не очень ...

Соединенные Штаты приняли самые строгие в мире меры по регулированию ИИ Президент США Джо Байден издал амбициозный и далеко идущий указ об искусственном интеллекте (ИИ), который вывел Соединенные Штаты на передний план в вопросе о регулировании ИИ.

Крупнейший производитель iPhone установил исторический рекорд: Foxconn отчиталась о результатах работы в апреле 2024 Foxconn достигла важной вехи: ее апрельские продажи взлетели до рекордного уровня и составили 15,83 миллиардов долларов США, что означает рост на 19% в годовом исчислении. Этот рост подталкивает Foxconn к оптимистическому прогнозу на второй квартал. Несмотря на традицио...

В Оренбургской области построят новые зарядные станции для электромобилей Губернатор Оренбургской области Денис Паслер, в своем Telegram-канале объявил о планах по строительству не менее четырех "быстрых" электрозарядных станций в регионе к следующему году. Этот шаг происходит в рамках пилотного проекта по созданию зарядной инфраструктуры для элек...

Такер Карлсон рассказал, что у Украины нет шансов на успех в вооруженном конфликте против России Известный американский журналист Такер Карлсон в одной из иностранных соцсетей рассказал, что у Украины нет шансов достричь успеха в вооруженном конфликте против России. Лучшим решением для официального Киева является как можно скорее заключить мирный договор с Москвой.

Как польские математики взломали Энигму Когда речь заходит о взломе Энигмы, то всегда вспоминают об успехе британцев в Блетчли-парк. Но менее известно, что этот успех был построен на фундаменте, который заложили польские криптографы. История о том, как польские математики первыми смогли взломать легендарную Энигму...

Cамый маленький автомобиль стал самым медленным на Нюрбургринге. Он проиграл даже трактору На Youtube-канале Quadrant опубликовали видеоролик, в котором показывают, как знаменитую трассу Нюрбургринг проходили на Peel P50. Авторы ролика назвали его самым маленьким и самым медленным автомобилем знаменитого гоночного трека. Это британский трехколесный микроавтом...

Очень необычные для Intel процессоры Lunar Lake всего с четырьмя большими ядрами в конце текущего года выйдут в очень ограниченных объёмах Похоже, процессоры Intel Lunar Lake ждёт судьба актуальных ныне Meteor Lake. В том смысле, что первые тоже выйдут в самом конце года.  Согласно данным инсайдера Golden Pig Upgrade, Intel в случае с Lunar Lake будет придерживаться той же программы EEP (Early Enable...

В зоне .AI появились миллионные продажи На фоне успехов в развитии искусственного интеллекта доменная зона .AI бьёт рекорды по всем показателям. И о многих из ним мы даже не знаем.

Новый скафандр SpaceX создан с применением технологий 3D-печати Компания SpaceX сконструировала новые скафандры, на этот раз для выхода в открытый космос. Без аддитивных технологий не обошлось: компания утверждает, что шлемы изготавливаются на 3D-принтерах, при этом производство скафандров со временем планируется поставить на поток для о...

77-дюймовый телевизор LG OLED evo C4 оценили в 3500 долларов Компания LG представила в Китае новую линейку телевизоров OLED evo C4, в которую вошли модели с диагональю экрана 42, 55, 65 и 77 дюймов. Новинки характеризуются OLED-панелями с разрешением 4K, кадровой частотой 120 Гц, сертификатами о низком уровне вредного для глаз синего ...

SoC Apple M3 Pro не только имеет меньше ядер, чем M2 Pro, но и содержит меньше транзисторов Новые однокристальные системы Apple M3 перешли на техпроцесс 3 нм, но при этом не все стали лучше относительно прошлого поколения, если судить исключительно по характеристикам. Та же M3 Pro не только получила меньше ядер и меньшую пропускную способность памяти, она элем...

Ушедшая из России Renault увеличила продажи своих машин в 2023 году на 9% Группа Renault отчиталась о продажах автомобилей в 2023 году: компания смогла реализовать 2 235 345 авто – на 9% больше, чем было продано машин в 2022 году. Таким образом, Renault успешна даже после ухода из России и потери очень крупного рынка. Изображ...

Samsung готовит производство чипов на 1 нм техпроцессе Если верить информации западных инсайдеров, компания Samsung Foundry планирует представить свой передовой технологический процесс в 1 нанометр в следующем месяце с запуском массового производства к 2026 году. Соответственно, пока Intel и TSMC занимались своими собственными т...

Минобороны РФ отчиталось об уничтожении американской БМП Bradley в Запорожье Минобороны заявило об уничтожении американской БМП Bradley в Запорожье

В Саудовской Аравии завершилась 45-я сессия Комитета всемирного наследия ЮНЕСКО Расширенная 45-я сессия Комитета всемирного наследия ЮНЕСКО и его первое за четыре года очное заседание успешно завершились в Эр-Рияде. В список внесли 42 новых объекта, а также расширили описания пяти объектов, уже находящихся в Списке. В течение двух недель Комитет всемирн...

Состоялся релиз Titan Quest: Ultimate Edition на смартфоны Мобильный порт Titan Quest: Ultimate Edition выпустили в App Store за 1,300 рублей. Несмотря на такой высокий ценник по сравнению с другими премиальными играми для смартфонов, Ультимативное издание поднялось до топ-25 места среди ролевых игр App Store. Что до Android-версии...

2000 км на одном баке с расходом 2,9 л на 100 км и современная начинка — при цене менее $17 000. Супергибрид BYD Qin L DM-i поступит выходит 229 мая Модель BYD Qin L DM-i поступит в продажу 29 мая и будет стоить в диапазоне 120 000–150 000 юаней (~ 16 609–20 762 доллара США). BYD Dynasty представила свой новый седан среднего класса Qin L DM-i на Пекинском международном автосалоне 2024 года. Новая модель ...

Если клиенты будут просить больше машин с классическими бензиновыми моторами, то Stellantis не откажет им У Stellantis есть амбициозные планы по созданию полностью электрической линейки пассажирских автомобилей в Европе к 2030 году. В Соединенных Штатах цель составляет 50%. Но это не означает, что компания не будет производить автомобили с двигателями внутреннего сгорания, ...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Core i9-9900K vs Core i3-14100F – стоит ли инвестировать деньги во флагманы Intel Спустя пять лет Core i9-9900K уже не кажется таким быстрым и во многих играх уступает даже Core i3-14100F

Пять видов чаёв, которые сжигают жир на животе Известно, что в чае присутствуют вещества, полезные для общего здоровья. Некоторые из этих соединений могут даже помочь в снижении веса.

Epic Games Store продолжает приносить только убытки даже спустя пять лет после запуска А где-то, наверное, продолжает скучать добрый седобородый дядя Гейб.

Intel к 2027 году сможет занять до 3% рынка услуг по контрактному производству чипов Даже если увеличит выручку в пять раз.

Alpina показала части новейшего автомобиля Alpina опубликовала первые изображения своего нового автомобиля, но не уточнила, о какой модели идёт речь. Известная своими доработками серийных BMW компания показала часть подкапотного пространства и сдвоенный патрубок выхлопной системы, а также парктроник на заднем б...

Освоить новую профессию или хобби: 6 курсов, которые помогут развиваться в дизайне и иллюстрации В подборке есть и небольшие программы для изучения графических редакторов или техник, и долгие — для полноценного освоения творческих диджитал-профессий.

Психология успеха Успех-это субъективное понятие, которое каждый человек определяет для себя сам. Однако, существуют определенные психологические факторы, которые могут помочь нам на пути к достижению наших целей и самосовершенствованию. В этой статье мы рассмотрим основные аспекты психологии...

Intel Core Ultra: новая эра эффективности, искусственного интеллекта и графики Это первые плиточные процессоры компании, изготовленные по новому 4-нм техпроцессу Intel. По сути, это принципиально новая архитектура, не похожая ни на что из предыдущих разработок.

Планы подписки Ubisoft+ Multi-Access и PC Access переименовались в Ubisoft+ Premium Компания Ubisoft анонсировала изменения в своих подписочных планах и представила новые опции для игроков

Локализация идёт полным ходом: на «Москвиче» запускают окраску кузовов Московский автомобильный завод «Москвич» завершил подготовку цеха окраски кузовов к старту мелкоузловой сборки в 2024 году. Как сообщила пресс-служба, по результатам внутренних и внешних лабораторных тестов качество окрашенного на заводе кузова подтверждено....

Nvidia в каком-то смысле дарит более сотни игр при покупке видеокарты GeForce RTX 40. На самом деле в подарок идёт подписка на Game Pass Компания Nvidia решила обновить подарки для покупателей видеокарт GeForce RTX 40, но игр в новом наборе нет. Или есть, но это смотря как посмотреть.  Суть в том, что при покупке 3D-карты пользователь получит в подарок три месяца подписки на Game Pass. То есть дост...

Ростелеком отчитался за 3 квартал 2023 года Выручка за III квартал 2023 г. выросла на 14%, OIBDA— на 23%, чистая прибыль — почти на 60%.

Capcom сообщает о рекордной прибыли седьмой год подряд Capcom отчиталась о рекордной прибыли седьмой год подряд, благодаря успешным релизам Street Fighter 6 и Dragon's Dogma 2.

Western Digital отчиталась о падении продаж Расходы на реструктуризацию увеличили скорректированные убытки компании.

Один чип по производительности как четыре Apple M2 Ultra. Apple создала такой для своего отмененного автомобиля У Apple были амбициозные планы относительно своего электромобиля, включая создание усовершенствованной системы автономного вождения. Для этого компания разработала чип, эквивалентный по производительности четырем Apple M2 Ultra. Марк Гурман из Bloomberg заявил, что кома...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Не только маленький Land Cruiser, но еще и совершенно новый пикап. Toyota может выпустить нетипичный пикап для конкуренции с Ford Maverick и Hyundai Santa Cruz По данным Automotive News, Toyota работает над совершенно новым легковым пикапом — у этой машины не будет рамы. Такой автомобиль станет конкурировать с Ford Maverick, Hyundai Santa Cruz и будущим пикапом на базе Renault Duster третьего поколения. В качестве основы...

Американские аналитики из ISW предрекают ВС РФ тактические успехи на украинском фронте Согласно анализу экспертов американского Института военных исследований, Вооруженные силы России способны добиться значительных тактических успехов на украинском фронте в ближайшее время.

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Большой успех по-белорусски: в 2023 году в соседней стране собрали 68 тыс. авто Geely, 52 тыс. из них экспортировали в Россию Премьер-министр Белоруссии Пётр Пархомчик рассказал о деятельности предприятия «БелДжи», занимающегося выпуском автомобилей Geely, в 2023 году. Оказалось, что при мощности примерно 60 тыс. автомобилей было произведено 67,8 тыс. машин, и около 80% отправилось...

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

Языковая модель GPT-4 прошла тест Тьюринга В данном случае в исследовании принимало участие 500 человек. Каждый из них общался с каждым из четырех собеседников по пять минут и затем должен был высказать свое мнение относительно того, был ли этот собеседник человеком или машиной.

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Xiaomi выпустит моноблок без вырезов и отверстий, а также новый Xiaomi Mix со сгибающимся экраном Компания Xiaomi тестирует новый флагман на базе однокристальной системы семейства Snapdragon 8, о чем сообщил инсайдер Digital Chat Station. В новом смартфоне используется решение с двумя камерами под экраном, он получит современный дизайн с очень узкой рамкой с четырех...

Германия разработала план на случай военного конфликта с Россией За основу нового плана взят план обороны от 1989 года, доработанный с учётом реалий.

Новый iPad Pro получит OLED-дисплей нового поколения Сегодня западным журналистам удалось найти явные доказательства существования новой технологии дисплея в новых планшетных компьютерах компании Apple — специалистам пришлось заняться изучением операционной системы iPadOS 17.5, в рамках которой были обнаружены интересные данны...

Internet Naming Co приобретает пять доменных зон Компания Internet Naming Co, являющаяся преемником UNR (Uniregistry), cообщила о приобретении пяти доменных зон: .diy, .food, .lifestyle, .living и .vana.

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

SK Group: переход на новые техпроцессы теперь снижает себестоимость чипов от силы на 10% Затраты растут.

4 нм, 8 ядер, графика AMD и поддержка до 200 Мп. Спустя две недели после премьеры Galaxy A55 Samsung наконец-то представила платформу Exynos 1480 Смартфон Samsung Galaxy A55 дебютировал 11 марта, но тогда компания «забыла» рассказать о его однокристальной системе. Недоразумение исправлено сейчас: Exynos 1480, на которой и построен Galaxy A55, полностью рассекречена. CPU системы представлен четырьмя я...

Vivo X Fold 3 Pro сразу стал хитом продаж Компания Vivo похвасталась предварительными успехами флагманских складных смартфонов X Fold 3 и 3 Pro. Сообщается, что в Китае в первые пять минут был принято на 600% больше предзаказов, чем в свое время на Vivo X Fold 2. Старт розничных продаж новинок намечен на 3 апреля. ...

«Ростех»: Курганмашзавод отгрузил первую партию БМП-3 в этом году «Ростех» отчитался об увеличении количества выпущенных боевых машин.

В SpaceX отчитались о потере Starship в ходе третьих лётных испытаний Однако в компании считают их частично успешными.

Эксперты DappRadar отчитались о секторе DeFi за январь Аналитики DappRadar опубликовали отчет о децентрализованных приложениях и секторе DeFi за январь 2024 года

Halo 2: сиквел, для многих ставший оригиналом Halo 2 можно считать почти идеальным сиквелом – игра взяла всё лучшее, что было в первой части, избавилась от многих ее недостатков и привнесла новые идеи. Эта игра во всем превзошла Halo: Combat Evolved, даже в популярности: многие фанаты серии начали свое знакомство с Ha...

Учёные связали пассивное курение с опасным нарушением работы сердца Результаты новой научной работы показали, что даже в небольших количествах пассивное курение может привести к повышенному риску возникновения серьёзного нарушения сердечного ритма. Речь идёт о фибрилляции предсердий.

Эти смартфоны Xiaomi получат HyperOS в ближайшее время. Компания опубликовала планы на грядущий квартал Компания Xiaomi опубликовала планы на второй квартал относительно выпуска HyperOS на свои смартфоны и планшеты.  В период с апреля по июнь включительно новую оболочку получат следующие модели:  Xiaomi 11 Ultra  Xiaomi 11T Pro  Mi 11X  Xiaomi 1...

Новейший Core Ultra 5 125H не может уверенно обойти Core i5-13500H при одинаковом количестве ядер и одинаковом режиме мощности Intel, а где прирост от новых архитектур? Core Ultra 9 185H оказался медленнее, чем Core i9-13900H при одинаковом количестве ядер https://videocardz.com/newz/intel-ultra-5-125h-and-ryzen-7-7840hs-tested-at-65w-intel-with-higher-igpu-score-but-falls-short-in-cpu-testsАн...

Iran Khodro – в Россию, Lada – в Иран. АвтоВАЗ выходит на рынок Ирана, уже найден дистрибутор Пока российские дилеры потихонечку небольшими партиями завозят в страну иранские авто производства Iran Khodro, АвтоВАЗ взялся за официальное освоение авторынка Исламской Республики. У компании уже появился и дистрибутор – местная компания Nika Motor. «Рыно...

Абоненты МТС стали чаще менять смартфоны ПАО «МТС» сообщает о том, что в 2023 году российские пользователи смартфонов стали чаще обновлять свои гаджеты. За первые девять месяцев 2023 года частота обновления гаджетов сократилась на пять месяцев – до одного года и пяти месяцев, в первую очередь из-за появления новых ...

Рендеры Motorola Moto G04 в четырех цветах открывают ... Опубликованы рендеры Motorola Moto G04, демонстрирующие четыре возможных цвета ультрабюджетника. Motorola G04 за последние два года научилась делать привлекательный дизайн, это касается b новых недорогих смартфонов.

Jeep выпустит пять новинок 2025 год станет большим годом для Jeep . В продажу поступят два электромобиля с аккумуляторной батареей и большая линейка подключаемых гибридов. Новый генеральный директор Jeep Антонио Филоса в пятницу проинформировал средства массовой информации о планах компании на пр...

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

TSMC приступила к выпуску Intel Core Ultra 200V (Lunar Lake) по 3-нм техпроцессу В составе процессоров Lunar Lake будет iGPU на новой графической архитектуре Xe2-LPG.

WSJ: Россия может поддерживать текущий уровень военного производства до пяти лет А почему спустя пять лет должно случиться что-то, что повлияет на эту возможность?

Ubisoft опубликовала план пострелизной поддержки "Skull and Bones" Ubisoft запускает поддержку первых четырех сезонов "Skull and Bones" в течение первого года после выхода игры.

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Что стоит за успехом популярных генеративных моделей ИИ Пора узнать о важнейшем факторе, определяющем успех или неудачу передовых моделей генеративного ИИ: о важнейших обучающих данных. Высококачественные данные, являющиеся жизненной силой ИИ, - это ключ к раскрытию их потенциала в создании человекоподобных текстов, изображений, ...

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Выручка ИТ-компаний в России выросла на 43% за 2023 год Минцифры России отчиталось об изменении ключевых показателях IT-сферы за 2023 год

VK отчиталась о финансах за третий квартал 2023 года Выручка компании за девять месяцев 2023 года увеличилась на 37% по сравнению с 2022 годом

Минобороны России не зафиксировало нарушение режима прекращения огня в Карабахе Минобороны отчиталось об обстановке в Карабахе

В США и Великобритании отчитались об ударах по Йемену Целью западных сил стали военные объекты хуситов.

Минобороны России отчитались об ударе по отелю Kharkiv Palace в Харькове В нём якобы дислоцировались украинские спец. службы и диверсанты.

ВС РФ впервые отчитались о перехвате американских дальнобойных ракет ATACMS, поставленных Украине По крайней мере, об этом заявляет Минобороны.

Экс-советник Кучмы допустил возможность начала на Украине гражданской войны Она, господин экс-советник, там шла и идёт с 2014 года.

NVIDIA начинает перераспределять ИИ-чипы в связи с санкциями против Китая Чипы, которые раньше шли исключительно в Китай, теперь идут в другие страны Азии.

«Волчья пена» для полупроводников и не только: краткая история вольфрама Мы продолжаем рассказывать о различных металлах, истории их открытия, применении и патентом аспекте. На этот раз речь пойдет о вольфраме. Данный металл имеет атомный номер 74. В природе он имеет пять изотопов, четыре из которых стабильны, а пятый имеет большой период полурас...

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Цены на видеоадаптеры в Китае начали расти на фоне сформировывающегося дефицита Речь идёт не только о флагманских видеокартах, но даже о бюджетных моделях, вплоть до RTX 3050

Процессоры Ryzen 8000G, которые на самом деле технически будут моделями Ryzen 7000G, выйдут в конце января Компания Gigabyte подтвердила, что гибридные процессоры Ryzen нового поколения для AM5 будут представлены в конце января 2024 года.  Сама компания не называет модели, но речь идёт либо о Ryzen 7000G, либо о Ryzen 8000G. Напомним, последние утечки указывали на то, ...

ASUS выпустит четыре новые материнские платы Z790 16 октября Выпуск четырех новых материнских плат расширит линейку Z790 компании до 27 моделей

Смартфоны серии Honor 100 установили рекорд продаж Компания Honor похвасталась успехами смартфонов Honor 100 и Honor 100 Pro, которые вчера стали доступны для покупки на китайском рынке. Утверждается, что новинки установили рекорд первого дня продаж среди всех смартфонов Honor. Отдельно отмечается, что продажи Honor 100 Pro ...

Настольные Ryzen 7000G и новое поколение Ryzen 8000U впервые засветилось в Сети В Сети впервые засветились настольные процессоры Ryzen 7000G и мобильные APU нового поколения Ryzen 8000U.  Если говорить о первых, то пока засветились три модели: Ryzen 5 Pro 7500G, Ryzen 5 7500G и Ryzen 3 7300G. Первые два должны быть идентичны и, вероятно, буду...

[Перевод] Невероятно, но факт: умножение матриц на GPU идёт быстрее на «предсказуемых» данных Шёл 2022 год. Я обратил внимание на новый интересный проект CUTLASS, отличающийся очень высокой скоростью выполнения операций умножения матриц. Я взял большую задачу по умножению матриц — 8192 x 8192 x 8192, и померял производительность в PyTorch, где используется библиотека...

Apple A18 Pro в iPhone 16 получит самый быстрый NPU на рынке Новый нейронный процессор NPU внутри однокристальной системы Apple A18 Pro, которая будет использоваться iPhone 16, будет более производительным, чем соответствующий блок в Apple M4, установленной в iPad Pro. NPU внутри чипа A17 Pro, который используется в моделях iPhon...

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

Google представил Bard 2.0: чатбот на базе Gemini готов свергнуть ChatGPT Google Bard получил серьезное обновление. Бывший аутсайдером чат-бот выходит на первый план с новой моделью Gemini. Теперь он претендует на то, чтобы сравняться с технологиями OpenAI и даже превзойти их.

Китаю на освоение EUV-литографии потребуется не менее четырёх лет А то и все пять, и это в лучшем случае.

IT для Госплана: как в СССР пытались внедрять компьютеры после Великой отечественной войны В предыдущей части мы подробно рассказали о довоенных опытах внедрения ЭВМ в СССР. После окончания Второй мировой войны наступает компьютерная эпоха. Как известно, первая в мире ЭВМ ENIAC была построена в Америке в 1945 году и в 1946 году запущена в эксплуатацию — в военных ...

Отсрочка или кибервойска: идею создания в России нового спецвида войск поддержал глава Минцифры Глава Минцифры Максут Шадаев поддерживает идею о создании кибервойск, если это не коснется вопроса отсрочки от службы в армии для специалистов аккредитованных IT-компаний, о чем пишет «Коммерсантъ». «По планам создания кибервойск, я в этом смысле точно...

Инсайдер: Формула мира Зеленского неактуальна, Украине придётся идти на уступки перед Москвой По словам источника, речи о границах 1991 уже давным-давно не идёт

Dell отчиталась о падении продаж на 11% Выручка компании снижается из-за падающего спроса на персональные компьютеры и серверное оборудование.

10 из 10 "Кинжалов" сбито, заявил Залужный, однако, видео в сети говорит об обратном Главком ВСУ отчитался о невиданной ранее результативности украинской ПВО

В китайском магазине всего за 14 долларов продают процессоры Intel 15-го поколения Речь идёт о ранних инженерных образцах, для которых нет даже материнских плат

4 ловушки, которые могут заставить руководителя идти на поводу у сотрудников Иногда даже опытному лидеру бывает сложно не поддаться на уловки и манипуляции.

HMD Skyline, напоминающий Nokia Lumia 920, показал себя в деле Смартфон HMD Skyline, напоминающий Nokia Lumia 920, был замечен в базе данных Geekbench, которая раскрыла подробности о его начинке. Смартфон получит систему плату под кодовым названием Tomcat, на которой установлено 8 ГБ оперативной памяти и восьмиядерная пла...

60% разработчиков уже используют искусственный интеллект или нейросети Тенденция показывает рост числа пользователей генеративного ИИ среди разработчиков. Примерно 43% студий, которые ещё не применяют нейросети, выразили интерес к изучению этой сферы, хотя и отметили отсутствие времени для освоения новых технологий.

Гриль-пресс Polaris PGP 3005: четыре режима работы и встроенные в панели нагревательные элементы Прибор со съемными рифлеными панелями, которые можно мыть в посудомоечной машине, и отдельной формой для запекания имеет простое механическое управление с таймером, яркий дисплей и фиксатор панелей в 5 положениях. Гриль способен разогреться до 240 °C, что обеспечит быструю о...

Российские специалисты отчитались о создании передового дорожного покрытия Фото иллюстративное Разработчики из «Центра стратегической поддержки российских технологий» создали и уже завершили все необходимые испытания новейшего дорожного покрытия с названием «Мостовая 2.0», которое является самоочищающимся и имеет ряд других важных свойств.

Сеймур Херш считает, что между Россией и Украиной уже идут мирные переговоры По информации журналиста, диалог идёт между главнокомандующими двух стран, Валерием Герасимовым и Валерием Залужным

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

Микропластик впервые нашли в тканях пениса человека Ученые впервые обнаружили микропластик в тканях пениса человека. Исследование, проведенное под руководством Ранджита Рамасами (Ranjith Ramasamy), выявило семь видов микропластика в образцах, взятых у четырех из пяти мужчин с диагнозом эректильная дисфункция. Образцы были про...

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Intel представила новую мобильную архитектуру Lunar Lake, выход которой запланирован на 3 квартал Компания задействовала 3-нм техпроцесс TSMC для одной плитки нового процессора, что знаменует собой монументальный сдвиг в стратегии производства процессоров.

Россия до 2028 года потратит 14 трлн рублей на строительство и обновление дорожных объектов Правительство РФ обновило план дорожного строительства на ближайшие пять лет. Сообщение о подписании премьер-министром Михаилом Мишустиным соответствующего постановления появилось сегодня на сайте кабинета министров.

Глава Electronic Arts: «На мобильных играх сложно зарабатывать» Electronic Arts отчитались перед инвесторами о планах компании на мобильные игры. Так, в марте издание mobilegamer.biz рассказало, что два внутренних подразделения EA — HD и смартфоны — объединили для совместной работы над EA Sports FC, Madden NFL и The Sims. Подобные измене...

МО РФ отчиталось о полной зачистке Козинки в Белгородской области от украинских формирований Но... ведь... Говорили, что проникновения через государственную границу допущено не было.

Apple Pro Weekly News (15.01 – 21.01.24) Выпущены iOS 17.3, 16.7.5 и даже 15.8.1 – рассказываем, что нового. Открыт предзаказ на пространственный компьютер Apple, а ещё стало известно много новых подробностей об устройстве. Анонсированы новые коллекции продуктов Black Unity и в честь китайского нового года, а в США...

Как идёт строительство The Line, города будущего в пустыне The Line — самый дорогой футуристический проект в истории. Стеклянный город будущего в безжизненной пустыне. Небоскрёб-линия, которую будет видно из космоса. В двести раз дороже Большого адронного коллайдера, в пять тысяч раз крупнее Бурдж-Халифы. Многие сомневались,...

Представлена однокристальная система Snapdragon 7 Gen 3. Первый смартфон на ее базе – Honor 100 Сегодня Qualcomm официально представила однокристальную систему Snapdragon 7 Gen 3 для смартфонов среднего уровня. Новинка производится по техпроцессу 4 нм и является преемницей прошлогодней Snapdragon 7 Gen 1. Производительность центрального процессора Snapdragon 7 Ge...

Chery продала в России в 2023 году более 220 тыс. автомобилей, выручка составила более полутриллиона рублей Пару дней назад о финансовых результатах своей деятельности в России в 2023 году рассказала «Хавейл Мотор Рус» (Haval), а сейчас о своих успехах рассказала Chery. Изображение: Chery За прошлый год АО «Чери Автомобили Рус» продала в России более ...

Xiaomi растет уже больше года. Компания отчиталась за прошедший квартал Компания Xiaomi отчиталась за первый квартал 2024 финансового года.   фото: Xiaomi Выручка за отчетный период выросла на 27%, до 10,42 млрд долларов. Чистая же прибыль и вовсе увеличилась вдвое, до 897,6 млн долларов. На диаграмме можно видеть, что последние пять ...

Valorant Mobile выдали лицензию в Китае Разработчики Valorant Mobile получили разрешение от китайских властей на запуск серверов для этого проекта внутри Поднебесной. Речь идёт именно о мобильной версии Valorant — лицензию на неё выдали 5 июня 2024 года. В переводе с китайского игру называют Valorant: Operation Pr...

Корабль SpaceX Crew Dragon Endeavour побил рекорд: он провёл на орбите 466 дней Многоразовый пилотируемый корабль Crew Dragon Endeavour компании SpaceX совершил свой пятый полет и побил очередной рекорд, о чем пишет ArsTechnica. «Этот корабль провел на орбите 466 дней — дольше, чем любой космический корабль, предназначенный для перевозк...

В пяти районах Перми улучшили связь МегаФон модернизировал телеком-оборудование в пяти районах Перми и установил новые базовые станции в местах растущей нагрузки на сеть. Жители и гости краевой столицы будут обеспечены уверенным сигналом и мобильным интернетом даже при увеличении пользовательского трафика в пр...

Разработка Ростеха увеличила срок службы чип-резисторов в пять раз Холдинг «Росэлектроника» Госкорпорации Ростех создал новое поколение резистивной пасты для производства чип-резисторов. Она отличается высоким содержанием драгоценных материалов, что позволило увеличить срок службы электронных компонентов до 25 лет. Аналоги популярных зарубе...

OnePlus 12 мгновенно стал бестселлером Компания OnePlus похвасталась успехами флагманского смартфона OnePlus 12, который сегодня появился в китайской продаже. По данным производителя, уже в первые пять минут новинка стала вторым самым продаваемым устройством на крупнейших платформах Tmall и JD.com. Напомним,...

Как вырастить ИТшника или принстонский Computer Science для школьников Сегодня школьникам разных возрастов предлагается большое количество вариантов реализовать свои навыки программирования: от участия в олимпиадах по информатике и разработки приложений и игр до освоения модных технологий, таких как машинное обучение, и...

Огромный 14-дюймовый экран, игровой iGPU, четыре динамика и 32 ГБ ОЗУ. Рассекречен планшет Minisforum V3 Компания Minisforum раскрыла подробности о своём первом планшете под названием V3. Напомним, устройство стало первым среди анонсированных планшетов на основе Ryzen 8000.  Производитель не называет модель процессора, но говорит о техпроцессе 4 нм, 12 блоках CU для ...

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

 В 2024 году Huawei «перепишет историю отрасли». Компания выпустит «передовые, инновационные и революционные продукты» Уже почти пять лет Huawei находится под жесткими американскими санкциями, и все это время компания работала не покладая рук. Теперь, возможно, настало время для серьезного рывка. По крайней мере слова Ю Чэндона (Yu Chengdong), генерального директора потребительской бизн...

Как выбрать компанию для стажировки В наше время у всех желающих освоить новую профессию есть понятный и четкий алгоритм. Сначала нужно обучиться в вузе или самостоятельно пройти теорию с помощью профильной литературы, уроков на YouTube, онлайн-курсов, наставников или репетиторов. Затем нужно выполнить первые ...

Sony отчиталась о растущих доходах В день публикации финансовых итогов акции компании подорожали на 12%, чего не было около полутора лета.

За прошлый год Google заблокировала 2,2 млн фальшивых приложений в магазине на Android Google отчиталась о защите пользователей на своей мобильной платформе по итогам года

В Киеве отчитались о сбитии 10 «Кинжалов» и попросили у союзников ещё больше ЗРК Patriot Украинский президент назвал эту атаку самой массированной из тех, которые удалось отбить.

Adidas впервые за 30 лет закончил год с чистым убытком в 14 млн евро Всемирно известная компания Adidas отчиталась за 2023 год. Согласно финансовому отчёту, этот период завершился с чистым убытком в 14 млн евро впервые с 1992 года.

Seagate отчиталась о высокой надёжности технологии HAMR Компания Seagate столкнулась со множеством обвинений, которые касаются о якобы низкой надёжности накопителей, выпущенных по технологии Heat-Assisted Magnetic Recording (HAMR), в с равнении с традиционной Perpendicular Magnetic Recording (PMR).

«Яндекс Музыка» отчиталась об удалённом по требованию госорганов контенте: 4333 единицы за девять месяцев 2023 года Всего на платформе доступно 76 млн музыкальных треков.

В Японии создали гигантский робокостюм для освоения космоса Очень в духе японцев

Samsung окончательно избавилась от акций ASML, которые покупала в 2012 году Делалось это ради освоения EUV-литографии всеми участниками рынка.

Фотографии подтверждают наличие у iPhone 16 Pro огромного блока камер и новой кнопки До выхода iPhone 16 еще несколько месяцев. Мы видели неофициальные рендеры, фото макетов, а теперь появились снимки чехлов.  Чехлы на фото предназначены для iPhone 16 Pro. Можно видеть, что модуль камеры все еще будет очень большим относительно размеров самого сма...

Собственное облако, новый конфигуратор устройств и другие новости софта Wiren Board Мы ежегодно проводим конференцию и выставку WBCE, где делимся не только опытом разработки и внедрений устройств автоматизации, но и рассказываем о новинках и планах на будущее.Чуть раньше мы рассказывали, что было интересного на стендах, потом о новых устройствах, а сегодня ...

Американские производители оборудования для выпуска чипов не боятся снижения спроса со стороны китайских клиентов Даже если речь идёт о перспективе следующего года.

Путин: Будущий ледокол "Лидер" способен расколоть 7-метровый лед и не имеет аналогов в мире Российское кораблестроение идёт в ногу со временем и даже опережает передовые страны

Для игры Starseed: Asnia Trigger проходит предрегистрация В конце января издатель Com2uS опубликовал новый трейлер для Starseed: Asnia Trigger и сообщил, что релиз игры состоится в первом квартале 2024 года в Южной Корее. Всё идёт по плану, так как для проекта уже проходит предрегистрация в App Store и Google Play Кореи. Выход наме...

Флагман Xiaomi 14 оказался намного успешнее Xiaomi 13 Руководитель компании Xiaomi Лэй Цзюнь рассказал об успехе серии флагманских смартфонов Xiaomi 14, которые были выпущены в конце октября. Оказалось, что всего за пять минут после старта продаж новинки оказались в 6 раз успешнее, чем предыдущее поколение. Всего на данный моме...

MaxSun удивляет рынок с GeForce RTX 4070, оснащенной пятью вентиляторами Помимо заводского разгона, она получила нестандартную систему охлаждения, а также традиционную для «геймерских» моделей декоративную подсветку и даже собственный дисплей

MaxSun удивляет рынок с GeForce RTX 4070, оснащенной пятью вентиляторами Помимо заводского разгона, она получила нестандартную систему охлаждения, а также традиционную для «геймерских» моделей декоративную подсветку и даже собственный дисплей

Фил Спенсер официально сообщил, что 4 эксклюзивных игры с Xbox выйдут на PS5 и Switch Microsoft официально объявила о планах выпустить четыре эксклюзивные консольные игры для PS5 и Nintendo Switch. Как сообщил Фил Спенсер, генеральный директор Microsoft Gaming, в официальном подкасте Xbox, игроки могут ожидать появления некогда эксклюзивных игр на PlayStation...

Ученый рассказал о научной программе Российской орбитальной станции На пресс-конференции в Москве научный руководитель Института астрономии РАН Борис Шустов рассказал о планах научной программы Российской орбитальной станции (РОС). По его словам, в рамках этой программы запланировано проведение пяти экспериментов, направленных на изучение св...

Ученый рассказал о научной программе Российской орбитальной станции На пресс-конференции в Москве научный руководитель Института астрономии РАН Борис Шустов рассказал о планах научной программы Российской орбитальной станции (РОС). По его словам, в рамках этой программы запланировано проведение пяти экспериментов, направленных на изучение св...

Rocket Lab пересматривает планы на первый пуск ракеты Neutron и объявляет о задержке первого запуска до середины 2025 года Компания Rocket Lab объявила о начале испытаний своего нового двигателя Archimedes, предназначенного для использования в ракете-носителе Neutron. Компания также сообщила, что первый пуск Neutron ожидается не раньше середины 2025 года. Rocket Lab завершила разработку дви...

Россияне не останутся без Toyota RAV4, Kia Sportage, Volkswagen Passat и Volvo XC60. Обнародован план закупок крупного дилера «Авилон» на 2024 год Сергей Цыганов, автоэксперт и автор Telegram-канала «Русский автомобиль», ознакомился с планом крупного дилера «Авилон» по поставкам автомобилей на российский рынок в 2024 году. В нем есть ряд интересных моментов. Во-первых, россияне получат кит...

Книга: «Рецепты Python. Коллекция лучших техник программирования» Привет, Хаброжители! В «Рецептах Python» используется простой, но эффективный метод освоения 63-х базовых навыков программирования на Python. Сначала формулируется вопрос, например «Как найти элементы в последовательности?» Затем приводится базовое решение на чистом понятн...

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Санком. Неизвестный производитель оптических домофонов Приветствую всех! В начале лета этого года я уже рассказывал про домофоны с оптическим ключом. И так уж вышло, что в статье речь шла исключительно про самый популярный тип ключа с пятью тройками дырочек. Но, само собой, существовали и другие устройства. Итак, сегодня пого...

Сначала боевая лига роботов, а затем освоение Луны: огромный пилотируемый робот-трансформер представлен в Японии Стартап Tsubame Industries представил на автомобильной выставке Japan Mobility Show, которая проходит до 5 ноября, необычную разработку. Огромный человекоподобный робот-трансформер по отзывам очевидцев работает «как настоящий». Tsubame Archax...

Анонсированы китайские процессоры Zhaoxin KX-7000: 7 нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Сегодня в Китае состоялась официальная премьера процессоров Zhaoxin KX-7000 для настольных ПК. Эти CPU производятся по техпроцессу 7 нм и выглядят практически в точности как Intel Alder Lake или Raptor Lake. Производитель лишь говорит о «сокете типа LGA», но...

ЦНИИТочмаш полностью закрыл контракты по изготовлению патронов для русских силовых структур и армии Госзаказ выполнен в срок, как отчиталось руководство предприятия.

Шольц договорился о новой встрече с лидерами пяти центральноазиатских стран Германия договорилась о встрече с пятью центральноазиатскими странами

Ростех оснастит пять ракет «Ангара» сверхпрочными головными обтекателями ОНПП «Технология» им. А.Г. Ромашина (входит в состав Ростеха), в 2024 году изготовит головные обтекатели для пяти ракет-носителей семейства «Ангара». Об этом рассказала пресс-служба госкорпорации.  Иллюстрация: Ростех Сверхпрочные конструкц...

В «Операции Триангуляция» использовались пять разных уязвимостей На конференции Security Analyst Summit аналитики «Лаборатории Касперского» поделились техническими подробностями и результатами многомесячного анализа, позволившего полностью раскрыть цепочку атаки кампании «Операция Триангуляция» (Operation Triangulation). В атаках использ...

Это успех — фанаты раскупили Apple Vision Pro, оформлено до 180 000 предзаказов, сроки ожидания выросли до 7 недель Предварительные заказы на Apple Vision Pro начались в прошлую пятницу, 19 января. Продажи начнутся 2 февраля, а пока что аналитик Минг-Чи Куо сообщил, что гарнитура смешанной реальности пользуется огромным успехом и раскрыл приблизительные объемы продаж. Куо оценивает т...

Космическое агентство Китая представило ракету последнего поколения Changzheng-8A Китай представил новую ракету Changzheng-8A с увеличенной грузоподъемностью и передовыми технологиями для поддержки своей растущей программы освоения космоса.

Apple, а где хвалёная мощь GPU в A17 Pro? В тесте 3DMark Wildlife Extreme новый iPhone 15 Pro Max уступает даже Samsung Galaxy S23 Ultra Автономность iPhone 15 Pro Max лучше, чем у основных конкурентов на Android, но не особо значительно Компания Apple вывела на рынок первую потребительскую SoC, созданную по техпроцессу 3 нм. Однако, похоже, даже такая платформа в новых iPhone перегревается. Тесты показ...

Nissan рассказала о планах электрификации своих автомобилей Автомобильная компания Nissan объявила о своем новым плане электрификации, согласно которому 16 из 30 выпускаемых автомобилей будут электрическими к 2026 году. Компания также заявила о намерении выпустить семь новых электрических автомобилей на североамериканском рынке, вклю...

Samsung Galaxy A55 порадует своей производительностью: SoC Exynos 1480, на которой он построен, протестировали в Geekbench Samsung уже начала официально представлять модели линейки Galaxy A нового поколения: вышли Galaxy A15, Galaxy A15 5G и Galaxy A25. А скоро время дойдет и до Galaxy A55. Рендеры этого смартфона уже опубликованы в Сети, а сейчас появились подробности о производительности....

12-дисковое лезвие для HP BladeSystem На долю лезвий HP сегодня приходится более половины мировых продаж блейд-серверов. И успех выпущенной пять лет назад HP BladeSystem c-Class во многом связан с тем, что HP построила, как это принято говорить, большую экосистему лезвий. Кроме «массовых» двухсокетных блейд-серв...

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Honda и Dongfeng представили седан с пятью экранами на передней панели У совместного предприятия Honda и Dongfeng появился новый бренд — Lingxi. И первой машиной этого бренда станет седан Lingxi L. В Китае его называют компактным, по европейской классификации при длине 4830 мм и колесной базе 2731 мм он вполне вписывается в D-класс. ...

Бойцы армии РФ уничтожили машину для разминирования ВСУ, основанную на американском танке M1 Abrams Речь идёт о M1150 Assault Breacher, ценность которой даже выше, чем у танка M1 Abrams

В Подмосковье запустят мусоросжигательные заводы Замглава Российского экологического оператора, Алексей Макрушин, подтвердил планы запустить четыре мусоросжигательных завода в Подмосковье до конца 2024 года и в 2025 году.

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

Трассу А-360 "одели" в асфальтобетон в 2023 году О проделанной работе на А-360 Росавтодор отчитался в январе 2024-го. В Федеральном дорожном агентстве подчеркнули, что реконструкцию удалось завершить на год раньше календарного графика.

Тайваньская Powerchip сообщила об улучшении финансовых показателей в первом квартале 2024 года Компания PSMC из Тайваня отчиталась об оптимизации затрат и улучшении финансовых показателей в первом квартале 2024 года, несмотря на давление со стороны китайских производителей.

Слух: Новые Jet Set Radio и Crazy Taxi от SEGA будут перезагрузкой, а не ремейками Ещё на презентации The Game Awards 2023 компания SEGA представила аудитории пять неожиданных игр по классическим, но давно заброшенным франшизам: Jet Set Radio, Shinobi, Golden Axe, Streets of Rage и Crazy Taxi. Теперь же, как сообщает некий инсайдер в «западных соцсетях», с...

Мощный процессор, скоростной дисплей и ещё 5 преимуществ ноутбука MSI Bravo 15, которые пригодятся для учёбы Найти материал для рефератов, посмотреть лекции и даже затащить катку в любимой игре — MSI Bravo 15 справится со всеми задачами на пять баллов.

Пять забавных странностей в коде Entity Framework Core Разработкой Entity Framework Core занимаются профессионалы, не допускающие ошибок благодаря опыту, ревью и мощной системе тестирования. Впрочем, даже в таком проекте не без приколов. Перед вами статья о странностях, укрывшихся от взгляда разработчиков высшего класса. Читать ...

Wildberries построит логистический хаб в Забайкальском крае Интернет-ретейлер Wildberries объявил о планах начать строительство логистического хаба в Забайкальском крае согласно результатам совещания с губернатором края Александром Осиповым. Строительство, оцениваемое на 10,5 млрд рублей, начнется во втором квартале 2024 года и будет...

На Украине ввели запрет на все виды рекламы азартных игр и букмекерских контор До недавнего времени солдаты ВСУ могли оставить всю зарплату и даже заложить военные дроны в ломбарде в попытках добиться успеха в онлайн-казино или играх на спортивных ставках.

Apple готовит к релизу процессор М4 Компания Apple, по информации сразу нескольких инсайдеров, активно работает над тем, чтобы выпустить процессор М4 нового поколения уже в этом году. На текущий момент эта информация официально никем не подтверждается и на самом деле сложно сказать будет ли производитель идти ...

iPhone 16, iPhone 16 Plus, iPhone 16 Pro и iPhone 16 Pro Max показали на общем фото. Возможно, это макеты Фотографии всех четыре моделей линейки iPhone 16 опубликовал китайский инсайдер Ice Universe. Источник не уточняет, реальные ли это смартфоны или макеты, но по внешнему виду они не отличаются друг от друга. На фотографии указано, что экраны iPhone 16, iPhone 16 Plus, iP...

AMD разработала новую архитектуру Zen 5 на 3-нм техпроцессе TSMC AMD готовится к запуску своей новой архитектуры "Zen 5" на 3-нм техпроцессе TSMC, разрабатываемой под кодовым названием "Nirvana". Эта разработка, сфокусированная на усилении развития искусственного интеллекта в терминалах, охватывает области, такие как настольные компьютеры...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

Super AMOLED 120 Гц, 50 Мп спереди и сзади, 12 ГБ ОЗУ, быстрая зарядка. Характеристики и изображения Samsung Galaxy F55 опубликовали до анонса Samsung Galaxy F55 появился в популярном интернет-магазине Flipkart, который подтвердил все характеристики устройства до официального анонса. Samsung Galaxy F55 будет построен на базе однокристальной системы Qualcomm Snapdragon 7 Gen 1, он получит 8 ГБ или 12 ГБ операти...

4 ГГц в смартфоне — реальность? Snapdragon 8 Gen 4 приписывают именно такую частоту для больших ядер Однокристальная система Snapdragon 8 Gen 4, возможно, будет работать на очень высокой для мобильной SoC частоте.    Ядрам Phoenix свежие слухи приписывают частоту в 4 ГГц! Для сравнения, у Snapdragon 8 Gen 3 максимальная частота для ядер — 3,3 ГГц,...

Как правило 1–3–5 помогает грамотно планировать жизнь Универсальный метод, который подойдёт для составления планов на день, неделю, месяц или даже несколько лет вперёд.

Оператор печати - ценнейший кадр для будущего аддитивной отрасли страны Аддитивная сфера бизнеса в нашей стране очень молодая и как полагается в жанре новой и растущей индустрии, она рождает новые позиции и профессии. Одна из таких профессии пусть и небольшим набором компетенций, но этого не становящаяся малозначимой, а даже наоборот дефицитной ...

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Компания Citigroup объявила о массовых увольнениях Банковский гигант отчитался о худшем квартале за 15 лет — год назад продал свой портфель рублевых потребительских кредитов банку «Уралсиб»

Intel просто выпускает новый драйвер, а производительность её видеокарт Arc повышается вплоть до 268% Компания Intel в очередной раз выпустила драйвер, который снова очень существенно повышает производительность её видеокарт Arc в ряде игр.  На сей раз ПО позволяет повысить количество кадров в секунду более чем в 20 играх. Среди них есть Tekken 8, The Last of Us P...

Командир ВСУ: "Украина проигрывает и никто уже не хочет воевать за Крым, как и идти вообще в армию" Правда постепенно пробивает себе дорогу наверх даже на Украине

JPMorgan: В ближайшие годы можно не ожидать какой-либо прибыли от роботакси Tesla По мнению аналитиков, у компании в настоящий момент даже нет большей части необходимой инфраструктуры, хотя разговоры о проекте идут давно

Скука как катализатор успеха Скучать полезней, чем вы думали! С помощью науки и экспериментов разберём почему мы постоянно бежим от скуки, каждую свободную минуту пытаемся чем-нибудь себя занять: в очереди — телефон, в машине — радио, дома вечерком — сериалы и даже не представляем насколько вредим себе ...

Российские учёные предложили изменить нормы загрязнения природы Учёные РАН предложили изменить правила при освоении Арктики

Минобороны: на херсонском направлении уничтожены две украинские ДРГ Минобороны отчиталось об уничтожении украинских ДРГ на херсонском направлении

Разработчики Baldur’s Gate 3 поделились планами на 2024 год Авторы Baldur’s Gate 3 провели прямую трансляцию, на которой поделились своими планами по поддержке игры в будущем. Как заявил сценарист Адам Смит, пользователей будут ждать новые расы и другие аспекты. Причём, список будущего контента вышел настолько обширным, что многие да...

Пять районов Херсонской области остались без электричества из-за аварии Вследствие аварии, произошедшей 8 января в Херсонской области, энергоснабжение в пяти районах было нарушено из-за отключения транзитной линии 150 киловатт из Мелитополя.

Минобороны отчиталось об уничтожении пункта управления украинскими БПЛА в ДНР В ДНР уничтожили пункт управления беспилотниками ВСУ

Microsoft отчиталась о росте выручки после слияния с Activision Microsoft опубликовала финансовый отчёт за второй квартал 2024 финансового года

Несмотря на давление, выручка TikTok в США составила $16 млрд в 2023 году Соцсеть TikTok отчиталась о выручке в США. Это примечательно, потому что, несмотря на запреты, в 2023 году компания заработала порядка $16 млрд.

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Равных OnePlus Ace 3V нет. В AnTuTu назвали самые мощные субфлагманы Разработчики бенчмарка AnTuTu опубликовали майский рейтинг субфлагманов, и доминирует в нем OnePlus Ace 3V. У OnePlus получилось сделать так, что эта модель стабильно быстрее другого смартфона на той же платформе — Realme GT Neo6 SE. На третьем месте Redmi K70E, ...

Большой успех OnePlus Ace 2 Pro стал неожиданностью для самой OnePlus. А на новые флагманы компания ответит флагманом OnePlus 12 Pro О том, что OnePlus Ace 2 Pro стал хитом на домашнем рынке, стало известно после старта продаж – китайцы охотно раскупали эту модель. Но, как оказалось, спрос даже превзошел ожидания самой OnePlus. Об этом рассказал инсайдер, известный в соцсети Weibo под ником Dig...

Так ли хорош процессор Snapdragon X в сравнении с другими После многих лет борьбы за позиции в сфере ПК компания Qualcomm наконец-то представила платформу, которая должна составить достойную конкуренцию. Платформа Snapdragon X построена на собственной архитектуре процессоров Oryon, которая, как утверждает компания, достаточно мощн...

Открыт новый набор на практические курсы по информационной безопасности ИНСЕКА В процессе освоения программ ты примеришь на себя роли специалистов из различных отделов кибербезопасности. Вместе с наставником курса вы решите задачи, с которыми сталкиваются в реальной жизни ИБ-команды большинства компаний.

NYT: План Киева вернуться к границам 1991 года похож на утопию Даже три батареи ЗРК Patriot Сырскому особо в этом не помогут

Разработчики Hogwarts Legacy смогли до конца 2023 года продать 22 млн копий игры Издатель игры Hogwarts Legacy, Warner Bros. Games, отчиталась о результатах на конец 2023 года.

Прибыль Sony за третий квартал 2023 финансового года выросла на 57% до $281 млн Компания Sony Group Corporation отчиталась о росте прибыли за III квартал 2023 года, показатели которой впечатляют.

Китайцы массово скупают GeForce RTX 4090 и делают из них «монстров Франкенштейна». Они перепаивают чипы на другую плату Запрет поставок GeForce RTX 4090 в Китай вызвал не только удорожание таких видеокарт в самом Китае и даже в США и Европе. Оказалось, что игровые 3D-карты активно используются китайскими компаниями для создания на их основе ускорителей для ИИ.  Сообщается, что эти ...

Из-за чего кошка может обижаться на вас: четыре причины Иногда мы можем причинить боль питомцу, даже не подозревая об этом. Если ваш кот или ваша кошка на вас обиделись, то это может быть связано с вашим поведением.

Задание на лето: Билл Гейтс назвал книги, которые стоит прочитать каждому В список рекомендаций попали четыре литературных произведения и даже один сериал.

Часы Samsung Galaxy Watch 7 могут превзойти Apple Watch 9 в энергоэффективности Чип в новых часах Samsung будет производиться на техпроцессе 3 нм

Vivo X200 и X200 Pro могут стать первыми смартфонами на 3-нанометровой Dimensity 9400 Ожидается, что в октябре Qualcomm анонсирует чипсет Snapdragon 8 Gen 4, а MediaTek собирается представить Dimensity 9400. Инсайдер Digital Chat Station сообщил, что Vivo станет первым брендом, выпустившим смартфон на базе Dimensity 9400. По словам источника, Dimensity 9...

Ростех отчитался о наращивании боеприпасов для РСЗО и стрелкового оружия Глава госкорпорации Ростех Сергей Чемезов отчитался перед президентом России Владимиром Путиным об увеличении в 50 раз производства боеприпасов для РСЗО и стрелкового оружия.

Такой шильдик будет на новых «Волгах». Появилось первое изображение Появилось изображение логотипа, который должен присутствовать на новых автомобилях «Волгах», а точнее Volga — да, он выполнен латиницей, как и соответствующий товарный знак. А вот и фирменный логотип для «новой Волги». Графическое решение &...

Новый Nissan GT-R будет «уродливой и угрожающей машиной» На автосалоне в Нью-Йорке в 2024 году старший вице-президент и директор по планированию Nissan в Северной Америке Понц Пандикутира заявил Motor Authority, что бренды GT-R и Z не умрут, хотя, возможно, потребуется некоторые время на выпуск новых моделей. «Следующее...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Как Алексей Пажитнов сделал сиквел Тетриса и забыл про него Тетрис — одна из самых (если не самая!) известных видеоигр, чьё имя известно даже людям, бесконечно далёким от этой индустрии. Когда в 1989 году «Тетрис» вышел на Nintendo Game Boy, он стал мировым хитом, продавшись миллионами копий и зарекомендовав себя как самая любимая в...

Процессор Huawei Kirin 9000S отстает от оригинального Kirin 9000 В этом нет ничего удивительно, учитывая использование менее продвинутого 7-нм техпроцесса у нового процессора

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Израиль отчитался о перехвате практически всех беспилотников и ракет, выпущенных Ираном Израильское командование утверждает, что сбило почти все выпущенные цели.

Создатель российского ПО «Мой Офис» отчитался о рекордном убытке в размере 5,2 миллиарда рублей Несмотря на рост продаж, убыток продолжает увеличиваться

ASML построит для Rapidus сервисный центр в Японии, чтобы помочь с освоением 2-нм технологии И в целом увеличит штат специалистов, обслуживающих местных клиентов.

Китайская SMIC начала освоение 3-нм норм – на это TSMC и Samsung потребовалось 10 лет Уже сегодня китайская компания способна выпускать чипы на основе 5-нм технологического процесса

[Перевод] «42» как ответ на пять фундаментальных вопросов науки Одна из самых забавных историй во всей научной фантастике — книжка «Автостопом по Галактике» Дугласа Адамса, в одном из эпизодов которой суперкомпьютеру поручили найти «ответ». Созданный якобы для того, чтобы дать ответ на «главный вопрос о жизни, Вселенной и всём остальном»...

Boeing Starliner готовится к первому пилотируемому полету на МКС После многолетних задержек и неудачных попыток, космический корабль Boeing Starliner готов отправить своих первых астронавтов на Международную космическую станцию. Этот важный шаг откроет новую эру для компании в освоении космического пространства.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)