Социальные сети Рунета
Воскресенье, 30 июня 2024

Samsung Galaxy S25 выйдет на базе Exynos 2500 Согласно информации от западных инсайдеров, компания Samsung планирует придерживаться стратегии с двумя процессорами для своей предстоящей серии флагманских смартфонов Galaxy S25. Это значит, что некоторые регионы мира будут предлагать смартфон нового поколения на базе проце...

AMD будет производить новые процессоры на заводах Samsung Если верить информации западных инсайдеров, компания AMD намерена использовать технологический процесс от компании Samsung в 4 нм для разработки недорогих APU (система на кристалле, которая состоит из центрального и графического процессоров на одной пластине) нового поколени...

Huawei Pura 70 работает на очень старом чипе Сегодня появилась информация о том, что серия флагманских устройств Pura 70, официально выпущенная компанией Huawei, оснащена новым процессором Kirin 9010, который обеспечивает ряд улучшений по сравнению с предыдущим чипом Kirin 9000S прошлого года. Однако, с точки зрения ли...

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Санкции США заставили Huawei вкладывать миллиарды, чтобы создать собственные машины для производства полупроводников Компания Huawei из-за санкций США не может создавать современные чипы, а темпы развития китайской SMIC явно не позволяют Huawei претендовать на какие-то значимые достижения. Однако компания уже вкладывает миллиарды, чтобы решить эту проблему.  фото: Huawei По данн...

Samsung готовит производство чипов на 1 нм техпроцессе Если верить информации западных инсайдеров, компания Samsung Foundry планирует представить свой передовой технологический процесс в 1 нанометр в следующем месяце с запуском массового производства к 2026 году. Соответственно, пока Intel и TSMC занимались своими собственными т...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Qualcomm Snapdragon 8 Gen 4 появится в смартфонах уже в сентябре Компания Qualcomm и её партнёры активно работают над тем, чтобы выпустить новейший флагманский процессор Snapdragon 8 Gen 4 на рынок — в сети уже достаточно много информации о данном чипе, который, вероятно, обладает действительно впечатляющей производительностью. Например, ...

Huawei разрабатывает новый флагманский процессор Сегодня появилась достаточно интересная информация о том, что компания Huawei, бывший китайский гигант рынка смартфонов, активно разрабатывает флагманский процессор серии Kirin для своего грядущего гаджета. Здесь хотелось бы напомнить, что производитель выпустил процессор Ki...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Samsung выпустит чипы по 2 нм уже в 2025 году Компании Samsung и TSMC, по информации инсайдеров, начнут массовое производство чипов по технологическому процессу в 2 нм уже в 2025 году. И чтобы получить преимущество, по данным журналистов их Южной Кореи, Samsung рассматривает возможность предоставления потенциальным клие...

Новые MacBook Pro получат более быстрые порты Thunderbolt Новые модели планшета iPad Pro с диагональю дисплея в 11 и 13 дюймов оснащены новейшим процессором M4 от Apple, который массово производится по второму поколению 3-нм технологического процесса компании TSMC. Это значительное достижение в области производства полупроводниково...

Intel представила процессоры поколения Lunar Lake Сегодня компания Intel поделилась подробностями о новом поколении мобильных процессоров Lunar Lake, которые будет использоваться в ноутбуках к концу 2024 года. Стоит сразу отметить, что поколение Lunar Lake представляет собой значительное обновление в сравнении с Meteor Lake...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Huawei и тут собирается обойти санкции США. Компания наладит собственное производство памяти HBM Компания Huawei собирается выйти на новый для себя рынок, который позволит ей создавать более производительные чипы. Речь о производстве памяти HBM.  Huawei Technologies объединяется с Fujian Jinhua Integrated Circuit и другими китайскими компаниями для разработки...

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Intel нахваливает свой новый техпроцесс Intel 3, но процессоры Lunar Lake отдала на производство TSMC Вчера мы узнали, что TSMC приступила к массовому производству процессоров Intel Lunar Lake, основная плитка которых производится по техпроцессу 3 нм. При этом и сама Intel начала выпуск по нормам Intel 3, которые, как минимум согласно своему названию, должны быть сходны...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Huawei создала собственный процессор на 7 нанометрах Сегодня иностранные журналисты заявили, что компании Huawei и SMIC удивили представителей из США, представив 7-нм процессор Kirin 9000S, который эксперты отрасли считают технологическим прорывом из-за существующих торговых санкций. При этом специалисты отмечают, что крупнейш...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Huawei больше не может покупать чипы Qualcomm и Intel Согласно информации издания Reuters, правительство США отозвало лицензии Qualcomm и Intel на продажу чипов компании Huawei. Это значит, что компания Huawei больше не сможет официально покупать и использовать чипы от Qualcomm или Intel, что, по словам специалистов, практическ...

300-миллиметровые полупроводниковые пластины, появившиеся почти 25 лет назад, наконец-то будут заменены? TSMC рассматривает переход на квадратные пластины На сегодняшний день в производстве полупроводников по современным техпроцессам используются привычные круглые 300-миллиметровые пластины, появившиеся почти четверть века назад. Разговоры о переходе на 450-миллиметровые пластины ходят давно, но, возможно, этого так и не ...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Huawei Kirin 9000S оказался сильно слабее конкурентов Возвращение компании Huawei на рынок смартфонов с чипом Kirin 9000S в сети назвали настоящим чудом, но это не отменяет того факта, что этот процессор значительно медленнее конкурентов. Это было вполне ожидаемо, поскольку SMIC, партнёр Huawei по производству, в настоящее врем...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

США покажут рекордные темпы роста производства полупроводников, но всё равно останутся пятыми. Таков прогноз аналитиков SIA к 2032 году В последние годы США активно наращивают свои полупроводниковые производственные мощности. Новый закон CHIPS, который призван ещё сильнее усилить позиции США на этом рынке, в итоге позволит стране утроить производство чипов к 2032 году, и это будет самым большим темпом р...

Qualcomm Snapdragon 8 Gen 4 будет потреблять слишком много энергии Появилась интересная информация о том, что компания Qualcomm может столкнуться с трудностями, связанными с энергопотреблением нового мобильного процессора Snapdragon 8 Gen 4. Специалисты отмечают, что проблему в этом направлении не удалось решить даже при помощи нового техно...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Huawei будет самостоятельно выпускать процессоры Новая патентная заявка от компании Huawei свидетельствует о том, что китайский гигант предпринимает дополнительные усилия для уменьшения зависимости от зарубежных компаний и их продукции. Всё дело в том, что новая патентная заявка свидетельствует о желании Huawei построить с...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Бывшего сотрудника ASML обвиняют в краже секретной информации для Huawei По данным голландской газеты NRC, бывший сотрудник ASML Holding NV, обвиняемый в краже данных у производителя самого современного в мире оборудования для производства микросхем, перешёл на работу в компанию Huawei Technologies Co. В феврале ASML заявила, что бывший сотр...

Apple разрабатывает совершенно новые процессоры Сегодня появилась информация о том, что компания Apple активно работает над расширением производственных мощностей по технологии упаковки CoWoS, которая будет использоваться в ближайшем будущем для чипов нового поколения. Кроме того, инсайдеры уверены в том, что технологичес...

TSMC удвоит производство процессоров для ИИ По сообщениям западных журналистов, компания TSMC вкладывает огромные инвестиции в расширение производственных мощностей, потому что компания хочет в текущем году удвоить выпуск полупроводниковой продукции — особенно в области производства CoWoS. Упаковка CoWoS считается важ...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Samsung Galaxy S26 может получить 2-нм чип Samsung Tethys Samsung работает над 2-нм техпроцессом, при этом компания хочет первой выпустить такие чипы, превзойдя TSMC. Как пишет gizmochina, компания получила первый заказ на производство 2-нм чипов от японской компании Preferred Networks (PFN). Кроме того, появились сведения, чт...

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

AMD готовит к релизу видеокарту Radeon RX 7650M XT Судя по информации западных инсайдеров, компания AMD планирует восполнить пробел в сегменте мобильных графических процессоров при помощи релиза нового графического ускорителя на базе архитектуры RDNA 4 — речь идёт о модели Radeon RX 7650M XT, которая должна появиться в прода...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

AMD представила процессоры Ryzen 9000 Сегодня на выставке Computex 2024 компания AMD анонсировала свою новую серию процессоров для настольных ПК — речь идёт про Ryzen 9000. Да, стоит сказать, что компания AMD переходит с текущей серии Ryzen 7000 сразу на Ryzen 9000, минуя серию 8000, что немного нелогично, но, в...

Когда тебе принадлежит целая Arm, которая может создать тебе чип для ИИ. Компания разработает для Softbank процессор для искусственного интеллекта Компания Arm известна в первую очередь своей архитектурой и эталонными ядрами CPU и GPU. Теперь же на фоне бума ИИ компания намерена разработать собственный процессор ИИ.  Нужен он не самой Arm, а компании Softbank, которая владеет Arm. Softbank будет использовать...

«О сборке Tiggo 9 речь однозначно не идёт и не шла», — в «Автодоме» не подтвердили планы по выпуску машин Chery на заводе Mercedes Компания «Автодом», которая с апреля этого года владеет активами Mercedes-Benz в России, не подтвердила опубликованную сегодня информацию о подготовке производства автомобилей Chery на заводе в подмосковном Есипово. «О сборке указанных моделей Tiggo 9 ...

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

Samsung готовит мобильные процессора на 3 нанометрах Можно с уверенностью сказать, что компания Apple лидирует в области мобильных чипов для смартфонов и ноутбуков, но конкуренты постепенно догоняют гиганта из Купертино. Например, если верить инсайдерам, компания Samsung готовит свои собственные 3-нм чипы для следующих флагман...

Huawei инвестирует в собственную литографию Если вы следите за рынком высоких технологий, то знаете, что у компании Huawei имеются существенные проблемы в области полупроводниковой продукции. Причина этих проблем заключается в том, что китайскому гиганту запретили приобретать различного рода полупроводниковую продукци...

Ryzen 7000 станут «старыми» уже совсем скоро? Массовое производство CPU нового поколения на архитектуре Zen 5 уже стартовало Пока неизвестно, когда AMD представит процессоры Ryzen нового поколения на архитектуре Zen 5, но сообщается, что их массовое производство уже стартовало.  Об этом написал известный инсайдер Kepler в ответ на соответствующий вопрос. К сожалению, без каких-либо подр...

Samsung Galaxy S24 FE выйдет осенью текущего года Появилась информация о том, что компания Samsung действительно работает над новыми решениями из серии Fan Edition — компания собирается в этом году выпустить сразу несколько устройств, которые будут пользоваться спросом у фанатов, которые не хотят покупать флагман по той или...

PlayStation 5 Pro уже готовится к официальному анонсу Буквально на прошлой неделе компания Sony официально анонсировала Slim-версию своей консоли нового поколения PlayStation 5, а уже сегодня появилась первая неофициальная информация о более производительной консоли PlayStation 5 Pro. Стоит сразу отметить, что данная информация...

В России начнётся производство современных печатных плат в больших объёмах В скором будущем Россия может получить свое собственное производство печатных плат до 7-го класса точности (применяются в наиболее передовой электронике), о чем сообщает «Коммерсантъ» со ссылкой на источники на рынке электроники. По данным издания, мощн...

Canon представила коммерческую установку, позволяющую «печатать» 5-нанометровые чипы без фотолитографии Canon представила установку под обозначением FPA-1200NZ2C, позволяющую производить 5-нанометровые чипы. Это первое коммерческое решение такого рода, но будут и другие: Canon в будущем доработает систему так, чтобы с ее помощью можно было производить 2-нанометровые чипы....

Intel опередила Samsung и вернула себе лидерство на рынке полупроводников Компания Intel неожиданно вернула себе звание крупнейшего производителя полупроводниковой продукции по итогам 2023 года.  Фото: Walden Kirsch, Intel Со ссылкой на данные аналитиков Gartner, ресурс WCCF Tech сообщает, что по итогам прошлого года выручка Intel ...

Твердотельные аккумуляторы Toyota появятся в десятках тысяч автомобилей компании к 2030 году Твердотельные аккумуляторы Toyota будут ограничены «десятками тысяч» автомобилей в 2030 году и в последующий период Недавно Toyota объединила усилия с Idemitsu Kosan для разработки и производства твердотельных аккумуляторов для будущих электромобилей, однако...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Китай закупает оборудования для производства полупроводников по-максимуму на фоне санкций США Согласно последним таможенным данным материкового Китая, импорт оборудования для производства полупроводников в Китай вырос почти на 80% в октябре по сравнению с годом ранее. Импорт различных инструментов для изготовления микросхем, в том числе тех, которые используются...

«Серп и Молот» нарастит выпуск деталей для УАЗов На саратовском заводе «Серп и Молот» планируется увеличение производства компонентов для автомобилей УАЗ за счёт модернизации производственного участка. Это стало возможным благодаря государственной поддержке, которая позволила закупить необходимое оборудова...

В российских ПК появятся китайские процессоры Loongson Российская «Норси-Транс» начнет выпуск различного оборудования на китайских процессорах Loongson, о чем сообщает «Коммерсантъ». Гендиректор «Норси-Транс» Сергей Овчинников рассказал, что на форуме «Микроэлектроника», котор...

Революция Huawei отменяется: новейшая 5-нанометровая SoC Kirin 9006C производится на мощностях TSMC, а не SMIC Похоже, Huawei вместе со SMIC всё же пока не совершили революции. Разборка нового ноутбука компании показала, что однокристальная система Kirin 9006C, которая производится по нормам 5 нм, на самом деле производится не китайской SMIC, а TSMC.  фото: TechInsigh...

Чудовищный GPU Nvidia Blackwell обошёлся компании в чудовищную сумму. Инвестировано 10 млрд долларов, а производство одного GPU обходится в 6000 долларов Похоже, на создание огромного GPU Blackwell компания Nvidia потратила очень много денег.  Сообщается, что Nvidia инвестировала в разработку нового решения колоссальные 10 млрд долларов. Учитывая ажиотажный спрос на ускорители для ИИ и позиции Nvidia на этом р...

АвтоВАЗ неудержим. Завод запустит в серию 12 новых моделей Сегодня во время запуска опытного производства Lada e-Largus глава АвтоВАЗа Максим Соколов рассказал о планах по выпуску новинок на ближайшие шесть лет: за это время компания запустит в серийное производство 12 новых моделей! И это должно обеспечить АвтоВАЗу лидерство н...

Новейший завод TSMC в «режиме спринта», с опережением графика, начнёт производство новейших чипов Apple Огромный завод TSMC в Аризоне ускоряет темпы подготовки к производству. Как пишет gizmochina, завод находится в «режиме спринта» и планирует начать пробное производство на своей первой производственной линии к середине апреля этого года. Если все пойдет глад...

Google Tensor G5 выпустят на новом технологическом процессе По состоянию на текущий момент все процессоры линейки Tensor, включая и предстоящий Tensor G4, были основаны на чипах Exynos и производились на фабриках компании Samsung. Однако, если верить информации инсайдеров, компания Google активно работает над собственным дизайном и, ...

Intel выпустит процессоры Lunar Lake уже в этом году Процессоры Intel поколения Lunar Lake, если верить официальным данным, вышли на стадию массового производства на мощностях TSMC с использованием их технологического процесса N3B, а первые ноутбуки с этими процессорами ожидаются в третьем квартале 2024 года. Специалисты отмеч...

ASML поставила Intel литографическое оборудование на $300 млн ASML, голландский гигант по производству полупроводникового оборудования, объявила о поставке своих новейших систем экстремальной ультрафиолетовой литографии «High NA» другому технологическому титану Intel.

Xiaomi 14 получит новейшую MIOS из коробки, Snapdragon 8 Gen3, рекордно узкую рамку и новый цвет. Смартфон представят уже в октябре Грядущий флагманский смартфон Xiaomi 14 получит розовую версию, которая, как утверждает проверенный инсайдер Digital Chat Station, выглядит действительно красиво. Рамка экрана Xiaomi 14 уменьшится до 1 мм, установив новый отраслевой рекорд. Кроме того, Xiaomi 14 будет и...

Сбербанк уже выпускает собственные серверы Сбербанк начал заниматься разработкой и производством собственных серверов, о чем сообщили «Ведомостям» три источника из компаний-производителей вычислительной техники, а также подтвердил представитель банка. Это оборудование используется для внутренних целе...

Память DDR5 сильно подорожает, и всё из-за огромного спроса на память HBM. Аналитики прогнозируют подорожание на 15-20% в следующем году И снова аналитики говорят об удорожании компонентов для ПК. На сей раз речь опять касается памяти DDR5, но причины уже другие.  создано DALL-E Аналитики TrendForce говорят о внушительном росте спроса на память HBM, что обусловлено использованием этой памяти в уско...

Qualcomm будет использовать в Snapdragon 8 Gen 4 свои ядра В сети есть данные о том, что компания Qualcomm при разработке процессора Snapdragon 8 Gen 4 может отказаться от дизайна ядер ARM в пользу собственных ядер Oryon или Phoenix. Согласно данным иностранных специалистов, предстоящий чип будет массово производиться на обновлённом...

Apple смогла разработать свои CPU и GPU, но не можем создать модем. Сообщается, что компания прекращает работу в этом направлении Компания Apple, возможно, прекратит попытки создать собственный модем 5G.   Напомним, компания работает над собственным модемом уже несколько лет, но постоянно сдвигает планы относительно выпуска такого продукта. В частности, соответствующий бизнес Intel был купле...

Apple снижает заказы процессоров из-за низкого спроса Согласно последнему отчёту известного аналитика мобильного рынка Минг-Чи Куо, Apple снижает заказы на производство чипов по технологическому процессу в 3 нанометра примерно на треть. Более того, компания Qualcomm также уменьшает объёмы производства и в конечном итоге объёмы ...

ExoTerra Resources привлекла $8 млн на наращивание производства двигателей для спутников на эффекте Холла Компания ExoTerra Resources со штаб-квартирой в Колорадо привлекла $8 миллионов на расширение производства двигательных систем для микроспутников. Финансирование предоставил Инновационный фонд Lago, входящий в состав Lago Asset Management. Последние инвестиции помо...

Как США не помогли даже санкции. 7-нм чип SoC Huawei Mate 60 Pro был изготовлен на оборудовании ASML По словам источников, на которых ссылается Bloomberg, китайская компания Semiconductor Manufacturing International Corp. использовала оборудование ASML Holding NV для производства современных однокристальных систем для китайских смартфонов Huawei. Несмотря на американск...

Sony Xperia 1 VII может получить совершенно новую компоновку камеры Sony недавно представила свой флагманский смартфон Xperia 1 VI с процессором Snapdragon 8 Gen 3 и новейшим датчиком основной камеры Exymor T. Теперь же появились первые слухи о его преемнике под названием Xperia 1 VII. По предварительной неофициальной информации, традиц...

«Игра 2022 года» — Elden Ring — выйдет на смартфонах? Китайская Tencent работает над таким проектом Игра Elden Ring, фанаты которой ждут выхода в этом году первого дополнения, может выйти на мобильных платформах.  фото: FromSoftware Сообщается, что китайский технологический гигант Tencent уже работает над мобильной версией игры FromSoftware. Само собой, о п...

Процессор Snapdragon 8 Gen 3 протестировали на базе ASUS ROG Phone 8 Ultimate Если верить информации крупных инсайдеров, компания Qualcomm объявит о релизе своего нового флагманского процессора Snapdragon 8 Gen 3 уже через месяц. И, что вполне ожидаемо, прямо сейчас процессор проходит различного рода тесты в бенчмарках на различных платформах, так как...

Windows 10 в два раза популярнее Windows 11 Сегодня появилась информация о том, что операционная система Windows 11 всё ещё далеко позади своего предшественника — Windows 10. Несмотря на то, что Windows 11 была запущена два года назад, доля рынка всё равно у «десятки» больше. Согласно данным американской компании Stat...

Смартфон nubia Red Magic 9S Pro+ показал рекорд в AnTuTu Серия смартфонов Red Magic 9S Pro по официальной информации выходит уже 3 июля, а сегодня появилась неофициальная информация о том, насколько мощным будет новый игровой флагман компании nubia. Стоит отметить, что новинка, естественно, будет оборудована процессором Qualcomm S...

Apple работает над складным iPhone Если верить западным журналистам, которые получили информацию от анонимных источников, компания Apple в настоящее время разрабатывает сразу два прототипа складных смартфонов iPhone. Данные прототипы построены в дизайне, который напоминает флагманские складные модели Samsung ...

Huawei Pura 70 полностью независим от иностранных технологий Компания Huawei совсем недавно представила серию смартфонов Pura 70 в Китае, предоставив пользователям смартфон, который практически полностью не зависит от иностранных компонентов. Согласно отчёту специалистов из данной области, новые смартфоны компании содержат больше комп...

В эпоху бурного развития ИИ память HBM стала новым золотом: SK Hynix сообщила, что все объемы выпуска HBM на 2024 год и на большую часть 2025 года распроданы SK Hynix устами своего генерального директора сообщила о том, что вся объемы памяти HBM, которые будут выпущены в 2024 году, уже распроданы. Более того, распродана и большая часть объема HBM, который произведут в 2025 году. Ранее похожее заявление сделала Micron, но тол...

Это санкции США вызвали катастрофу? За текущий год в Китае закрылось почти 11 000 компаний, связанных с производством чипов: это по 30 компаний в день Когда SMIC удалось выпустить для Huawei 7-нанометровую SoC, можно было бы подумать, что санкции США только укрепляют силу китайских производителей чипов, хотя американцы заявляют, что всё не так просто. Однако данные DigiTimes указывают на то, что на самом деле ситуация...

SAIC может стать первым автопроизводителем, который запустит массовое производство твердотельных аккумуляторов Китайский производитель автомобилей SAIC заявляет, что начнет массовое производство твердотельных аккумуляторов примерно в 2026 году, а это означает, что он может стать первым автопроизводителем, который начнет коммерциализировать подобные аккумуляторы. Во время недавне...

Samsung создаёт Exynos 2500 на 2 ни Иностранные инсайдеры сообщают, что компания Samsung, видимо, решила сосредоточиться на своей технологии производства чипов на 2 нм, и, согласно новым данным, компания уже даже тестирует свой новый процессор на базе этого технологического процесса, что, теоретически, может с...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

«Историческая миссия» GeForce GTX 16 завершена. Nvidia прекратила выпуск GPU для видеокарт GTX 1660 Ti/Super, GTX 1660, GTX 1650 Super, GTX 1650 и GTX 1630 В декабре прошлого года появились слухи о том, что Nvidia планирует прекратить выпуск графических процессоров для ускорителей линейки GeForce GTX 16, и сейчас появилось подтверждение тому: ресурс Board Channels Forums сообщил о том, что выпуск GPU для линейки GeFor...

Intel выпустит процессоры Meteor Lake для ПК лишь в 2024 году Стоит напомнить, что в 2023 году компания Intel планирует выпустить 14-е поколение процессоров серии Intel Core, но, по совершенно необъяснимым причинам, только для ноутбуков. Аналитики рынка и журналисты пока что не смогли выяснить, почему компания хочет выпускать процессор...

Huawei выпустила смартфон на базе Kirin 9010L Мобильный процессор Kirin 9010 заменил модель Kirin 9000S в начале этого года, когда Huawei официально представила серию смартфонов Pura 70. Однако, если верить информации западных инсайдеров, компания также разрабатывала другую версию своего новейшего процессора, который на...

Huawei P70 получит невероятно мощный процессор Большой спрос на линейку смартфонов Mate 60 в Китае свидетельствует о том, что у Huawei есть достаточное количество потенциальных покупателей для запуска флагманского смартфона P70 в следующем году. Согласно информации, раскрытой китайскими источниками, компания планирует пр...

Microsoft готовит ноутбуки на базе ИИ Если верить информации иностранных журналистов, компания Microsoft намерена провести фирменное мероприятие в Сиэтле уже 20 мая, чтобы подробно изложить своё видение «AI PC» (речь про компьютер на базе искусственного интеллекта). Это событие состоится за день до начала «Конфе...

Apple не смогла создать собственный 5G-модем Некоторое время назад западные инсайдеры сообщали, что компания Apple работает над собственными чипами сотовой связи 5G, чтобы уменьшить зависимость от компании Qualcomm. Однако новая информация гласит о том, что Apple, вероятно, очень далека от достижения своих целей, поско...

Intel рассказала, как искусственный интеллект помогал ей при разработке процессоров Meteor Lake Искусственный интеллект используется во многих сферах, и один из вариантов — разработка новых чипов. Intel поделилась информацией, как использовала ИИ при разработке своих новейших процессоров Meteor Lake.  создано DALL-E Судя по всему, пока ИИ используется ...

В Россию приедут китайские процессоры Loongson 3A5000, а в Китае уже появились ПК на основе 3A6000 Компания Loongson запустила процессоры 3A6000 собственной разработки в конце лета, а теперь на рынок Китая начали поставлять готовые ПК на основе такого CPU.  Компьютер включает четырёхъядерный процессор из линейки Loongson 3A6000, 16 ГБ оперативной памяти DDR4-32...

Спасибо, Qualcomm, дальше сами. Huawei с 2024 года перейдет на собственные однокристальные системы Как сообщает ресурс MyDrivers, Huawei запустила процесс расчистки складских запасов в рамках подготовки к выпуску большого количества новинок. Их выход ожидается в конце текущего – начале следующего года. Устройств будет так много, что это называют «наводнен...

SK Hynix выпустит память GDDR7 в начале 2025 года Судя по информации инсайдеров, компания SK Hynix планирует начать массовое производство своей памяти следующего поколения GDDR7 уже в первом квартале 2025 года, то есть компания немного отстаёт от конкурентов. Первое поколение модулей памяти GDDR7 появилось ещё в этом году, ...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

DNP разрабатывает процесс изготовления фотомасок для 3-нм EUV-литографии Компания Dai Nippon Printing Co. (DNP) успешно разработала процесс производства фотомасок, способных работать с 3-нанометровым (10-9 м) литографическим процессом, который поддерживает экстремальную ультрафиолетовую (EUV) литографию - передовой процесс производства полупровод...

NVIDIA прекратила производство видеокарт GTX 16 Сегодня появилась информация о том, что компания NVIDIA официально завершила производство своих графических процессоров серии GeForce GTX 16, последних из линейки GTX для массового рынка. Собственно, ещё в декабре прошлого года появилась информация от инсайдеров о том, что N...

NVIDIA отложила релиз Н20 из-за проблем с Китаем Сегодня появилась официальная информация о том, что компания NVIDIA задерживает выпуск видеокарты H20, которая изначально создавалась для реализации вычислительных систем для работы с искусственным интеллектом, из-за опасений производителей серверов относительно интеграции д...

OnePlus представила функцию стирания объектов с фото на базе ИИ Сегодня появилась информация о том, что компания OnePlus наконец-то обратила внимание на направление искусственного интеллекта и начала заниматься разработками, которые позволят улучшить пользовательский опыт. Более того, компания сразу же представила свой новый инструмент п...

Samsung готовит к релизу Galaxy Ring Согласно данным журналистов из Южной Кореи, Samsung стремится к массовому производству умного кольца Galaxy Ring в количестве от 400 000 до 500 000 единиц в год — это начальный этап производства, чтобы проверить спрос на гаджет в мире. Это кажется отличной идеей, но здесь ст...

Huawei только начала, а уже в пятёрке лидеров. Компания заняла пятое место в списке крупнейших производителей чипов Компания Huawei вернулась к выпуску собственных однокристальных систем Kirin, и благодаря этому сразу же очутилась в пятёрке крупнейших производителей чипов.  фото: Bloomberg Согласно данным аналитиков Counterpoint, HiSilicon, принадлежащая Huawei, заняла пятое ме...

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

Серия Xiaomi 15 выйдет раньше ожидаемого Известный своей осведомленностью информатор Digital Chat Station поделился подробностями о линейке флагманских смартфонов Xiaomi 15. Утверждается, что новинки будут представлены одновременно с топовой платформой Qualcomm Snapdragon 8 Gen 4 и появятся в продаже уже в октябре ...

Китай скупает оборудование для выпуска чипов — Европа, США, Япония и Тайвань вместе тратят меньше Участники рынка сократили расходы на закупку оборудования для производства полупроводниковой продукции на 11 % по сравнению с аналогичным периодом прошлого года, до $25,6 млрд, о чем сообщила компания SEMI в своем отчете о мировой статистике рынка полупроводникового обо...

Samsung выпустит Galaxy S25 на собственных процессорах Западные журналисты поделились новостью о том, что Samsung планирует полностью отказаться от процессоров стороннего производства в своих флагманских устройствах в ближайшем будущем. Они считают, что вся линейка смартфонов Galaxy S25 будет использовать исключительно свои чипы...

Раньше срока: Xiaomi 15 Pro на базе Snapdragon 8 Gen 4, с новым датчиком и спутниковой связью представят уже в сентябре Сообщается, что серия смартфонов Xiaomi 15 будет анонсирована вместе с однокристальной системой Snapdragon 8 Gen 4, а продажи начнутся уже в октябре. По данным известного инсайдера Digital Chat Station, массовое производство мобильных телефонов Xiaomi 15 ожидается в сен...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Xiaomi и Unisoc напечатали собственные 4-нм чипы для мобильных устройств Китайские технологические гиганты Xiaomi и Unisoc предпринимают важные шаги в разработке собственных 4-нанометровых мобильных процессоров. Это может стать поворотным моментом для полупроводниковой промышленности Китая, сократив ее зависимость от иностранных компаний.

Флеш-память 3D NAND нового поколения, возможно, будут производить при температуре -70 градусов. Hynix и Samsung тестируют криотравление Компания Hynix тестирует новую технологию, которую может использовать в ближайшем будущем для производства флеш-памяти. Речь о технологии криотравлении.  создано DALL-E Сейчас при производстве флеш-памяти используются процессы травления при температурах в диапазон...

«Некоторые из наших клиентов не поверили данным, пока не проверили их на практике». Память HBM3 Gen2 уже тестируется Nvidia Компания Micron уже начала поставки памяти HBM3 Gen2 для Nvidia, и результаты тестирования, похоже, очень впечатляющи.  Память поставляется пока лишь для тестирования: массовое производство ещё, видимо, не началось. Однако уже сейчас понятно, что новая память може...

Poco F6 Pro совсем скоро отправится в релиз Совсем скоро компания Poco, которая является дочерним предприятием компании Xiaomi, должна обновить свою линейку так называемых «убийц флагманов». Информация об этом появилась достаточно давно, а сегодня предстоящий Poco F6 Pro был замечен в базе данных FCC — смартфон получи...

Кейс: использование 3D-Принтера Volgobot A4 PRO компанией 3DREX Volgobot продолжает активно сотрудничать с различными организациями и предприятиями. Мы работаем не только с крупными, но и c молодыми развивающимися компаниями. Сегодня хотим рассказать об одном из таких клиентов – компания 3DREX. Познакомимся с деятельностью организации.К...

Как мы оцифровывали каждый шаг производства, чтобы завод точно знал, что, как и когда делать Подручный сталевара берёт пробу химсостава металла на установке печь-ковш Привет с Новолипецкого металлургического комбината! В крупном проекте самое ценное — данные. В нашем случае — технологические карты производства и параметры всех изделий и агрегатов: как и что мы дел...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Российская компания начнёт выпуск базовых станций 5G в следующем году Издание «Коммерсантъ» сообщает, что принадлежащий МТС разработчик телекоммуникационного оборудования «Иртея» собирается наладить производство базовых станций для сотовых сетей 5G и 4G на мощностях томского завода «Микран». Об этом жур...

Intel хочет быть впереди всех. Компания выкупила все новейшие машины ASML для литографии EUV с высокой числовой апертурой до конца года Компания Intel сделала большую ставку на технологию литографии EUV с высокой числовой апертурой. Настолько большую, что выкупила у ASML все соответствующие машины, которые голландская компания построит до конца текущего года.  На самом деле в абсолютном выражении ...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Подорожают все новейшие чипы? Apple, Qualcomm, Nvidia и AMD зарезервировали все мощности TSMC по выпуску 3-нанометровых чипов и собираются повышать цены Тайваньская экономическая газета сообщает, что буквально вся продукция TSMC, производящаяся по нормам 3 нм, теперь зарезервирована всего четырьмя ведущими технологическими гигантами: Apple, Qualcomm, Nvidia и AMD. И это приведет к росту цен.   фото: Reuters Заказы...

Apple представит новый iPad Pro уже 26 марта Недавно появилась информация о том, что компания Apple может анонсировать крупное обновление линейки iPad Pro уже 26 марта с приличным перечнем существенных изменений в конструкции. Например, есть мнение, что ОLED-дисплей станет основным нововведением данного планшета. Кроме...

Samsung начнет производить новейший 3-нм процессор для Galaxy S25 уже в ближайшие месяцы Компания Samsung объявила о скором начале массового производства нового процессора, выполненного по передовой 3-нм технологии.

Вот это поворот: бестселлеры Chery Tiggo 7 Pro и Tiggo 8 станут «Ладами» Как сообщает самарское издание «Автоброкер Клуб» со ссылкой на свои источники, АвтоВАЗ собирается локализовать производство популярных кроссоверов Chery Tiggo 7 Pro и Tiggo 8 под собственным брендом Lada. Кроме того, собственные источники издания «Авто...

Intel начала массовое производство технологии 3D-упаковки Foveros Intel объявила о масштабном производстве на основе ведущих в отрасли решений по полупроводниковой упаковке, включая новую технологию 3D-упаковки Foveros. Эта технология была запущена на заводе Fab 9 в штате Нью-Мексико, США, который недавно был обновлен Intel.

Это самый сложный и современный чип китайской разработки. Nio представила SoC Shenji NX9031 с 50 млрд транзисторов и 32 ядрами Пока компания Huawei вместе со SMIC привлекают внимание их 7-нанометровой SoC Kirin и слухами о работе над 3-нанометровыми чипами, китайская компания Nio, которая к полупроводникам в целом отношения не имеет, создала собственный чип по техпроцессу 5 нм.  Однокрист...

Автозавод ГАЗ регистрирует товарный знак Volga Стало известно, что нижегородский автомобильный завод ГАЗ подал заявку на регистрацию нового товарного знака Volga. Согласно данным Роспатента, заявка поступила 14 марта 2024 года и была опубликована 15 марта. Товарный знак Volga планируется зарегистрировать по 22 класс...

Samsung Exynos 2400 представят уже сегодня, а «чип мечты» Exynos 2500 лишится GPU Radeon Samsung якобы подтвердила, что представит однокристальную систему Exynos 2400 уже сегодня, на мероприятии Tech Day 2023, о чем сообщает проверенный инсайдер Ice Universe. Ранее появилась информация, что Samsung рассматривает возможность разработки собственного уникально...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Станки для печати 5-нм чипов Canon стоят в 10 раз меньше машин ASML Компания Canon планирует продавать свое новое оборудование для производства микросхем по гораздо более низкой цене, чем у литографических машин ASML. Новая технология наноимпринтинга (nanoimprint), разработанная токийской компанией, предоставит возможность мелким произв...

Слух: Microsoft представит свой ИИ-чип на конференции Ignite 2023 Microsoft может представить первый собственный чип для искусственного интеллекта на грядущей конференции Ignite 2023, которая пройдёт с 14 по 17 ноября в Сиэтле. Об этом сообщает издание The Information. Отметим, что в расписании действительно присутствует сессия, посвящённ...

Samsung Electronics представила память нового поколения HBM4 Сегодня компания Samsung Electronics официально объявила о своих планах запустить массовое производство памяти HBM4 в 2025 году. Представители компании объяснили, что это позволит им разнообразить предоставляемые услуги для компаний-партнёров и удовлетворить потребности инду...

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Meizu 21 и Meizu 21 Pro на Snapdragon 8 Gen 3 выйдут на мировом рынке В Сети появились первые утечки, связанные с новой серией Meizu 21. Появление смартфонов в базе данных IMEI GSMA указывает на скорый анонс. Обычно модели Meizu продавались только в Китае. Однако последняя информация предполагает, что серия Meizu 21 может быть доступна на...

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Началось серийное производство газовых «Ситимаксов» Павловский автобусный завод (ПАЗ), входящий в «Группу ГАЗ», приступил к серийному производству автобусов ПАЗ-422320-14 «Citymax-9», работающих на компримированном природном газе (КПГ).  Прототип газового «Ситимакса» был впервые ...

Meizu 21x выйдет уже весной этого года Для компании, которая официально отказалась от рынка смартфонов, Meizu даже слишком активно работает над новыми моделями. Например, совсем недавно, в феврале текущего года, был представлен Meizu 21 Pro, а теперь появились слухи о новой модели, которая носит кодовое название ...

США мало имеющихся санкций — теперь они хотят запретить ASML даже просто обслуживать имеющиеся в Китае машины для производства полупроводников США продолжают усиливать санкции относительно Китая. И если запрет на продажи GeForce RTX 4090D и ускорителей H20 для самого Китая нельзя назвать гигантской проблемой, то вот усиление давления на ASML — это уже серьёзно.  фото: ASML Reuters сообщает, чт...

MediaTek Dimensity 9400 появится в десятках новых смартфонов Совсем скоро в релиз должен отправиться новый мобильный процессор Dimensity 9400 от компании MediaTek. И если не считать компании Google и Apple, MediaTek уже заключила контракты со всеми крупными производителями смартфонов о поставках нового флагманского процессора, но сего...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Представлен Realme 12X с экраном на 120 Гц На прошлой неделе в сети появилась информация о смартфоне Realme 12X — тогда инсайдер сообщил о том, что это предстоящий пятый член семейства 12, а сегодня новый смартфон был официально представлен. Впрочем, стоит сразу уточнить, что компания Realme объявила о его запуске сн...

На бывшем заводе Mercedes началась сборка машин В компании ГК «Автодом» рассказали о планах по перезапуску бывшего завода Mercedes в подмосковном Есипово. Напомним, в апреле прошлого года «Автодом» стал владельцем 100% акций АО «Мерседес-Бенц Рус». Генеральный директор ГК «Ав...

Такими темпами китайские CPU скоро обгонят Intel Core. Loongson обещает, что её новые процессоры 3B6600 и 3B7000 смогут потягаться с Core 12-го поколения Компания Loongson заявляет, что её процессоры нового поколения смогут тягаться с CPU Intel Core 12-го поколения.  Речь о недавно представленных процессорах 3B6600 и 3B7000, которые, несмотря на разные названия, относятся к одной линейке и являются преемниками лине...

Apple отказалась от новых процессоров в iPhone В настоящее время компания Apple использует технологический процесс в 3 нм от компании TSMC для нескольких своих чипов, и компания вполне может использовать этот производственный процесс в течение нескольких последующих поколения смартфонов iPhone, так как особого смысла рва...

Китайский процессор Zhaoxin KX-7000 протестировали в бенчмарках Китайский процессор Zhaoxin KX-7000, который был разработан локально в Китае для собственного рынка электроники, прошёл испытания в приличном наборе бенчмарков и тестового софта в стандартном формате и в сценариях с разгоном ядер, демонстрируя огромный прирост производительн...

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

Meizu 21 и Meizu 21 Pro одобрены для выхода По данным Министерства промышленности и информационных технологий, два новых мобильных телефона Meizu получили одобрение на выход в Китае. Как пишет Mydrivers, речь идёт о Meizu 21 и Meizu 21 Pro, которые проходят под модельными номерами M461Q и M481S. По данным Министе...

Новые iPad Pro появятся уже в марте По имеющейся информации, компания Apple выпустит новые модели iPad Pro к концу следующего месяца с большим количеством изменений. Например, инсайдеры уверены в том, что компания внесёт значительные апдейты в дизайн и форм-фактор планшета, так что планшеты получат большую диа...

Redmi K70, который будет мощнее Xiaomi 14 Pro, запущен в массовое производство Ван Тен Томас (Wang Teng Thomas), представитель бренда Redmi и генеральный менеджер отдела маркетинга Redmi, опубликовал в Weibo сообщение, в котором предположил, что смартфоны Redmi K70 вступили в стадию массового производства. Вчера Лу Вейбинг, президент Xiaomi Group,...

Это изменит способ изготовления процессоров и GPU. Hynix работает над размещением памяти HBM4 прямо на кристалле CPU/GPU Память HBM4 появится на рынке лишь через несколько лет, но уже сейчас появилась информация о том, что появление этой памяти может быть сопряжено с небольшой революцией в области полупроводникового производства. Как сообщается, компания Hynix работает с Nvidia и другими ...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Смартфоны серии iQOO Z9 готовы к выходу Китайский бренд iQOO объявил, что официальный релиз линейки смартфонов iQOO Z9 состоится в апреле. Производитель подтвердил, что модель iQOO Z9 будет построена на представленном сегодня субфлагманском процессоре Qualcomm Snapdragon 8s Gen 3. Это 4-нанометровая однокристальн...

Слух: новое поколение Xbox может выйти в 2026 году Датамайнер Call of Duty, известный под ником The Ghost of Hope, со ссылкой на собственные источники сообщает, что следующее поколение игровых консолей Xbox может выйти уже в 2026 году. По его словам, стартовая линейка игр будет включать в себя очередную часть Call of Duty. ...

Это совершенно новый Chery Tiggo 8, и он едет в Россию: живые фото с Пекинского автосалона Совершенно новый Chery Tiggo 8 официально приедет в Россию, о чем стало известно «Китайским автомобилям» на Пекинском автосалоне 2024. В салоне используются качественные материалы Информацию подтвердили представители самой китайской компании Chery, однако ...

Lada Iskra получит российские сиденья. Их производство уже началось 5 июня состоится официальная премьера Lada Iskra. Но серийное производство стартует лишь в начале 2025 года, а пока АвтоВАЗ и поставщики компонентов ведут планомерную работу по проекту. Она включает в себя и выпуск сидений для новой модели: как сообщает инсайдерский паб...

Acer представила видеокарту Radeon RX 7800 XT Predator BiFrost Сегодня компания Acer представила свои новейшие видеокарты серий Predator BiFrost и Nitro на базе графических процессоров AMD Radeon RX 7800 XT и RX 7700 XT. Здесь стоит напомнить, что совсем недавно компания выпустила видеокарту Radeon RX 7900 GRE OC Predator BiFrost и тепе...

Первое в Росссии контрактное производство сверхпроводниковых квантовых процессоров запустит Бауманка МГТУ им. Н. Э. Баумана и ФГУП «ВНИИА им. Н. Л. Духова» анонсировали открытие первого в России контрактного производства сверхпроводниковых квантовых процессоров на 100-мм пластинах. Производство будет располагаться в новом кампусе МГТУ им. Баумана ...

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Samsung готовит к запуску память LPDDR6 Сегодня появилась информация о том, что компании Samsung и SK Hynix сотрудничают для получения сертификации на память LPDDR6. По информации специалистов, корейские компании готовы начать производство микросхем памяти, как только стандарт будет утвержден JEDEC, чтобы обойти к...

В России наращивают производство игровых и рабочих компьютеров. «Аквариус» и Nemifist подписали соглашение о партнерстве Российские компании «Аквариус», разработчик компьютерного оборудования и IT-решений, и Nemifist, производитель игровых компьютеров и персональных систем, подписали соглашение о технологическом партнерстве. Это сотрудничество позволит значительно расширить пр...

Процессор Samsung Exynos 2400 показали в бенчмарке Сегодня достаточно надёжный инсайдер поделился интересными подробностями о процессоре Exynos 2400, который, предположительно, будет использоваться в будущей серии смартфонов Galaxy S24. Правда, стоит сразу отметить, что чип будет задействован лишь в некоторых регионах, а не ...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Китайские процессоры становятся все лучше: серверный чип 3C6000 от Loongson соревнуется с AMD Epyc на базе Zen 3 Поднебесная продолжает развивать собственную отрасль разработки и производства электроники. Неплохо себя чувствуют многие компании из Китая, но сейчас речь пойдет о Loongson, которая разрабатывает процессоры на основе собственной архитектуры LoongArch. Сейчас представлен се...

Готовится к массовому производству GDDR7 - новый стандарт памяти для графических процессоров Ведущие производители полупроводниковой памяти, включая SK hynix, Micron и Samsung, объявили о планах по массовому выпуску GDDR7.

В AMD считают, что Intel не добьётся успеха, как производитель полупроводниковой продукции для сторонних заказчиков Несколько последних лет Intel придерживается стратегии IFS, которая, кроме прочего, подразумевает превращение компании в том числе в крупного производителя полупроводниковой продукции для сторонних клиентов. В AMD считают, что эта стратегия их конкурента провалится.&nbs...

«СуперНиву» ждать еще долго: обещанная Lada Niva Sport выйдет только через год В следующем году Lada Niva Legend исполнится 47 лет, и к этому времени внедорожник наконец-то получит новый мотор. Какой именно – пока точно неизвестно, но получит точно. Называться такой автомобиль будет Lada Niva Sport. О том, что «спортивная» &laqu...

GAC будет собрать свои машины в Казахстане. Решение по сборке машины в России пока не принято Гендиректор GAC International Вэй Хайган в рамках конференции для российских и центральноазиатских СМИ сообщил о том, что компания запустит сборку автомобилей в Казахстане. Что касается сборки машин GAC в России, то на этот счет пока конкретных решений нет: в китайской ...

Китай ответит на санкции США самым передовым литографом, работающим на ускорителе частиц. С его помощью Китай сможет создавать 2-нанометровые чипы Как пишет South China Morning Post, Китай изучает новые возможности производства чипов внутри страны. И одной из таких является передовой литограф, работающий на ускорителе частиц. Команда из Университета Цинхуа уже ведет активные переговоры с властями нового района Сюн...

Tesla действительно будет отливать днище автомобилей единой деталью Tesla действительно работает над модернизацией своей технологии «гигакастинг» (gigacasting), позволяющей отливать под давлением все детали днища автомобиля как единое целое, о чем сообщило в среду, 27 сентября, издание Shanghai Securities News со ссылко...

Массовое производство Zen 5 процессоров AMD Granite Ridge уже может быть запущено Новую серию ожидают в этом году, она будет конкурировать с процессорами Intel Arrow Lake-S.

SMIC готовится к разработке 3 нм узла, требуя субсидий от правительства Китая SMIC, крупнейший китайский производитель полупроводников, по сообщениям, собирает специальную команду для разработки технологии 3 нм полупроводниковых узлов, после того как появились сообщения о том, что компания наладит производство 5 нм чипов для Huawei в конце этого года

Sony приостанавливает производство очков PlayStation VR 2 По информации Bloomberg Sony, скорее всего, больше не будет производить очки из серии PS VR 2.

Xiaomi 15 получит улучшенные объективы и портретный режим, светочувствительную макросъемку и большую диафрагму Xiaomi 15 и Xiaomi 15 Pro уже появились в базе данных IMEI, а теперь инсайдер Smart Pikachu поделился информацией о системе камер серии Xiaomi 15. По его словам, Xiaomi может предложить полнофокусную основную камеру с большой диафрагмой в своей будущей флагманской серии...

Новый автозавод по сборке Chery, Changan и Haval откроют в Казахстане: по полному циклу будут выпускать Tank 300 Крупный казахстанский автодилерский холдинг Astana Motors объявил о планах запустить в 2025 году мультибрендовое автосборочное предприятие. На новом заводе будет налажена сборка автомобилей трех китайских марок: Chery, Changan и Haval. Как сообщает издание Tengri Auto, ...

iPhone 16 Pro получит самые тонкие в мире рамки вокруг дисплея Грядущий iPhone 16 Pro от компании Apple, который, скорее всего, компания официально представит уже в сентябре текущего года, будет иметь самые тонкие рамки вокруг экрана за всю историю существования смартфонов iPhone. Впрочем, стоит сразу отметить, что речь идёт о информаци...

Huawei разрабатывает чипы для десктопа Совсем недавно компания Huawei официально вернулась на рынок смартфонов. Стоит отметить, что производитель на самом деле с рынка смартфонов официально не уходил — просто из-за различного рода ограничений и торговых санкций компания была вынуждена реорганизовать бизнес, созда...

В серии Samsung Galaxy S25 будут лишь SoC Qualcomm из-за проблем с новыми Exynos Предстоящие смартфоны Samsung серии Galaxy S25 будут оснащаться исключительно процессорами Qualcomm, отказавшись от собственного чипсета Exynos 2500 из-за проблем с энергоэффективностью на 3-нм техпроцессе, пишет MyDrivers.

В сети появились характеристики процессора Platinum 8558P В базе данных Geekbench появились ещё два процессора Intel 5-го поколения Xeon Emerald Rapids — речь о моделях Platinum 8558P и 8551C. Стоит сразу уточнить, что это серверные процессоры и использовать их в своих домашних ПК вы не сможете, что вполне очевидно, но всё равно но...

Великое возвращение Huawei: компания обошла всех и возглавила китайский рынок смартфонов, по данным Canalys Huawei удалось вернуться в лидеры на рынке смартфонов Китая, опередив Apple, Oppo, Vivo и другие компании. Об этом говорится Данные за первый квартал 2024 года, опубликованные в конце прошлой неделе аналитической компанией Canalys, показывают, что Huawei поставила в Кит...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Первый на Snapdragon 8 Gen 4. Флагманский смартфон Xiaomi 15 выйдет в Европе Смартфоны Xiaomi 15, которые ранее появились в базе данных IMEI, теперь прошли сертификацию Евразийской экономической комиссии EEC. Это подтверждает, что линейка готовится к запуску в Европе. Ожидается, что серия Xiaomi 15 будет выпущена в октябре и станет первой на баз...

Китай нашел свободных от санкций поставщиков оборудования для производства чипов из Южной Кореи. Перспективы отрасли Мы не раз и не два писали о влиянии санкций США на китайские компании из отрасли производства и разработки электроники. Сначала казалось, что санкции действуют, и очень хорошо — у Китая начались сложности почти сразу после введения различных мер со стороны США. Но спустя не...

Oppo Reno 12/12 Pro, Oppo Pad 3/OnePlus Pad 2 и Enco X3 уже запущены в производство В ноябре 2023 года Oppo представила в Китае серию смартфонов Reno 11. Недавние сообщения показали, что в В настоящее время Oppo работает над серией смартфонов Reno 12 для китайского рынка. Кроме того, бренд разрабатывает планшет Oppo Pad 3 и наушники Enco X3 TWS. Инсайд...

Oppo и Vivo уходят с рынка складных смартфонов Сегодня сразу от нескольких источников поступили довольно интересные слухи о том, что компании Oppo и Vivo готовы отказаться от складных смартфонов — они не будут производить подобные устройства в будущем. Предположительно, это касается также OnePlus, поскольку OnePlus Open ...

На рынок России могут вывести седан GAC Empow: компания изучает потенциал данной модели Седан GAC Empow может выйти на рынок России уже в следующем году, о чем сообщил представитель GAC Motor Rus редактору «Китайских автомобилей» в рамках пресс-тура в Гуанчжоу. Пока что компания проводит маркетинговое исследование и изучает потенциал данной мод...

Российский производитель телевизоров TCL, BBK, BQ, Erisson переносит мощности из Калининграда Российский производитель телевизоров STI Group, следуя примеру компании «Телебалт», принял решение о переносе производства из Калининградской области в Подмосковье. Об этом пишет «Коммерсантъ», который цитирует генерального директора компании Кир...

Meizu больше не будет делать смартфоны Издание IT Home передаёт, что в Meizu официально отказались от производства смартфонов. Всё дело в медленном цикле перехода пользователей на новые мобильные устройства, малом числе инноваций и повышенной конкуренции. Вместо смартфонов Meizu будет работать над ИИ-технологиям...

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

Intel представила ускоритель ИИ Gaudi 3 На протяжении достаточно длительного времени в сети ходили слухи о том, что компания Intel планирует создать собственное аппаратное обеспечение для работы с искусственным интеллектом. А сегодня, к счастью, компания наконец представила свой собственный ускоритель для искусств...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Первые процессоры Intel Core, которые сама Intel производить не может. TSMC приступила к массовому производству Lunar Lake Ресурс DigiTimes сообщает, что TSMC приступила к массовому производству процессоров Intel Lunar Lake.  Судя по всему, ноутбуки на основе этих CPU появятся в конце третьего квартала, а CPU Arrow Lake выйдут на рынок в четвертом квартале.  Напомним, Lunar Lake,...

В сеть слили характеристики планшета iQOO Pad2 Если верить информации инсайдеров, серия планшетов iQOO Pad2 будет официально запущена уже совсем скоро, 31 мая, так как это подтверждено в том числе на сайте компании-производителя. И судя по данным специалистов, стоит ждать релиза сразу двух планшетов — модели Pad2 на базе...

AMD поможет создать многокристальные чипы для американских военных. Компания заключила контракт с Raytheon Компания Raytheon, которая является главным оборонным подрядчиком США, заключила контракт с AMD на создание многочиповой упаковки.  фото: AMD Контракт на сумму в 20 млн долларов подразумевает разработку многочиповой упаковки нового поколения для использования в на...

Топ-менеджер Samsung намекнул на поддержку спутниковой связи смартфонами Samsung Galaxy S24 Пак Ён-ин (Park Yong-in), генеральный директор подразделения Samsung System LSI, сообщил, что со следующего года в смартфонах компании появится поддержка спутниковой связи для вызова служб экстренной помощи. Конкретно о флагманах линейки Galaxy S24 ничего сказано не был...

Apple, а не ждёт ли нас прирост на 5%, как у Intel? Появились характеристики SoC M3, M3 Pro и M3 Max, которые компания может показать уже сегодня Уже сегодня ночью компания Apple проведёт мероприятие, на котором, кроме прочего, как ожидается, анонсирует новые SoC линейки M3.  И свежие данные от журналиста Bloomberg говорят о том, что новые платформы могут оказаться не особо-то и быстрее текущих. По крайней ...

Apple столкнулась с огромной проблемой патентов в Apple Watch Недавно компания Apple объявила о запрете продажи новых умных часов Apple Watch из-за предполагаемого нарушения патентов определёнными моделями (речь идёт о гаджетах последнего поколения). Вскоре после этого появилась информация о том, что Apple приостановит продажи своих ум...

Представлены китайские процессоры Montage Technology Jintide с количеством ядер вплоть до 48, внутри которых на самом деле скрываются CPU Intel Пока одни китайские компании разрабатывают собственные GPU, другие берут продукты глобальных гигантов и переделывают их в продукты для Китая. Компания Montage Technology представила линейку процессоров Jintide пятого поколения. Но это не собственная разработка Montage T...

Китайский партнер Toyota хочет собирать автомобили в России. Уже известна площадка Компания GAC, имеющая в Китае совместное предприятие с Toyota, хочет наладить выпуск своих автомобилей в России. Об этом рассказал Сергей Цыганов, автоэксперт и ведущий Telegram-канала «Русский автомобиль». «Представители GAC ездят по производителям а...

В Свердловской области построят завод по производству микропроцессоров На Урале планируется возведение нового завода компании «Мультиклет» для производства мощных микропроцессоров RISC-V, что поможет удовлетворить потребности предприятий в сфере микроэлектроники. Этот проект считается важным для достижения технологического суверенитета России.

Vivo представит сразу три смартфона 13 мая Если верить авторитетным источникам, компания Vivo объявит официальный релиз смартфонов X100s, X100s Pro и X100 Ultra уже совсем скоро, 13 мая, и многие пользователи со всего мира очень ждут данного события, потому что устройства действительно интересные. Более того, примерн...

Nintendo Switch 2 получит производительность уровня PlayStation 4 Pro Сегодня появилась информация о том, что игровая приставка Nintendo Switch 2 в режиме подключения к док-станции, скорее всего, будет иметь производительность, сравнимую с производительностью PlayStation 4 Pro. По крайней мере, об этом уверенно говорит достаточно крупный канал...

Локализация идёт полным ходом: на «Москвиче» запускают окраску кузовов Московский автомобильный завод «Москвич» завершил подготовку цеха окраски кузовов к старту мелкоузловой сборки в 2024 году. Как сообщила пресс-служба, по результатам внутренних и внешних лабораторных тестов качество окрашенного на заводе кузова подтверждено....

King Price — новый «российский» бренд SSD, блоков питания, корпусов для ПК и других комплектующих. Он принадлежит «Мерлиону» В условиях ухода многих западных брендов электроники с российского рынка, отечественные дистрибуторы ищут новые возможности для развития бизнеса. Одним из перспективных направлений становится выпуск компьютерных компонентов под собственными торговыми марками (СТМ). Так,...

HONOR готовится выпускать умные кольца. Разбираемся, есть ли у них будущее Умные кольца — это носимые устройства, которые обычно надеваются на палец и обладают различными функциями, схожими с умными часами или браслетами. Они могут отслеживать физическую активность пользователя, мониторить сердечный ритм, измерять уровень стресса, отправлять уведо...

Canon начнет выпуск установок для нанопечатной литографии уже в этом году Компания Canon готовится к выпуску своей машины для нанопечатной литографии FPA-1200NZ2C в этом году. Хироаки Такеиши, председатель совета директоров и генеральный директор компании, сообщил о планах начать поставки в 2024 году, подчеркнув простоту и экономичность производст...

«Аквариус» и Росатом хотят выпускать микроконтроллеры для шифрования данных По сообщению издания «Коммерсантъ», Росатом и «Аквариус» создают совместное предприятие по выпуску микроконтроллеров для шифрования данных в вычислительной технике. Об этом изданию рассказал источник, знакомый с проектом, но сами компании информа...

УАЗ «Патриот», а также другие автомобили УАЗа, получат полностью отечественный руль, подушки безопасности и ремни безопасности Губернатор Ульяновской области Алексей Русских рассказал о проекте компании «Соллерс» по производству отечественных систем пассивной безопасности. Изображение: УАЗ «С компанией "Соллерс" сегодня подписали соглашение о новом проекте, инвестиц...

Samsung больше не хочет GPU AMD? Компания намерена создать собственное графическое ядро и отказаться от решений AMD Компания Samsung может прекратить сотрудничество с AMD в области GPU, то есть перестанет использовать соответствующие графические ядра в своих мобильных платформах.  Инсайдер OreXda, который ранее сообщил о формировании департамента Samsung MX до официального заяв...

Пентагон назвал военной китайскую компанию YMTC, у которой Apple хотела покупать флеш-память Очередная крупная китайская компания попала под прицел санкционной машины США. Пентагон обновил список компаний из Поднебесной, которые, по данным самого Пентагона, так или иначе связаны с военным сектором Китая.  В данном случае в список так называемых военных ко...

Pixel Fold 2 получит совершенно новый процессор Складной смартфон Pixel Fold был первой попыткой компании Google создать устройство с уникальным форм-фактором, но в процессе производитель упустил множество важных деталей, которые сказались на производительности, возможностях нового гаджета и не только. Но теперь инсайдеры...

Россия готова выпускать Lada на новых мощностях в Казахстане По итогам переговоров между Россией и Казахстаном, президент РФ Владимир Путин заявил о готовности России создать новые производственные мощности для автомобилей Lada в Казахстане. Это могут быть дополнительные заводские сооружения, где начнется выпуск автомобилей от ко...

Microsoft и Oracle расширяют сотрудничество для удовлетворения спроса на Oracle Database@Azure Корпорация Microsoft и компания Oracle расширяют сотрудничество, чтобы удовлетворить растущий спрос клиентов на Oracle Database@Azure во всем мире. База данных Oracle Database@Azure будет расширена еще на пять регионов, в результате чего общая запланированная зона доступност...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Появились промо-материалы Google Pixel 8a В следующем месяце на мероприятии Google I/O 2024 ожидается официальный релиз смартфона Pixel 8a — доступной версии флагманских устройств серии Pixel 8, которые продаются достаточно неплохо и пользуются приличным спросом на рынке. За последние несколько месяцев в сети появил...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Протокол защищенного обмена для индустриальных систем CRISP: поддержка в устройствах Рутокен Приветствую уважаемую публику Хабра от лица условно анонимного представителя компании «Актив», занимающейся производством небезызвестных средств аутентификации и электронной подписи. В этой статье хотелось бы рассказать об одном из менее известных направлений деятельности по...

Оглушительный успех Huawei Mate 60 вынуждает запустить производство Huawei P70 с опережением графика: доля китайских запчастей сильно превысит 90% Согласно последним новостям из цепочки поставок, поскольку линейка Huawei Mate 60 продаётся настолько хорошо, Huawei планирует начать массовое производство серии P70 раньше запланированного. По данным из цепочки поставок, Huawei начала резервировать детали и компоненты ...

Массовое производство Xiaomi 15 и Xiaomi 15 Pro стартует в сентябре, официальная премьера — в октябре Инсайдер Digital Chat Station рассказал о прогрессе в разработке новых флагманов Xiaomi — Xiaomi 15 и Xiaomi 15 Pro. По словам информатора, в апреле обе модели перейдут на новую стадию тестирования, к тому времени некоторые спецификации смартфонов будут окончател...

Полупроводниковая монополия: как одна голландская компания держит под контролем мировую индустрию микросхем Сегодня основой экономики являются микрочипы. Почти во всех электронных устройствах присутствует по крайней мере один полупроводниковый чип: от автомобилей и мобильных телефонов до стиральных машин и самолётов. Большая часть мирового ВВП производится устройствами, использую...

Huawei вновь покоряет рынок Китая Сразу несколько крупных аналитических компаний сообщили, что рынок смартфонов Китая вырос на 6,5% в первом квартале 2024 года благодаря сильному спросу на устройства компаний Huawei и Honor. По данным IDC, которая отслеживает продажи по всему миру от квартала к кварталу, в п...

Nvidia прекращает массовое производство RTX 4070 Ti и RTX 4080. Модели Super ожидаются уже в январе Анонс видеокарт GeForce RTX 40 Super намечен на начало января. И ранее мы уже слышали, что некоторые из новых карт призваны не расширить линейку RTX 40, а заменить существующие модели. И вот теперь сообщается, что массовое производство RTX 4070 Ti и RTX 4080 уже прекращ...

Chery может начать масштабное производство в Италии: речь о сотнях тысяч машин Итальянское правительство ведет переговоры с китайским автопроизводителем Chery Auto о возможности организации производства на территории страны. Целью является увеличение национального выпуска автомобилей до 1,3 млн единиц в год с текущих 800 тысяч. Министр промышленно...

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

Чиновник США: новые санкции «остановят» прогресс Китая в передовых микросхемах По мнению заместителя министра торговли по вопросам промышленности и безопасности США Алана Эстевеса, вводимые страной ограничения на экспорт современного оборудования для производства микросхем для китайских компаний будут препятствовать усилиям страны по развитию собственн...

Jeep выпустит пять новинок 2025 год станет большим годом для Jeep . В продажу поступят два электромобиля с аккумуляторной батареей и большая линейка подключаемых гибридов. Новый генеральный директор Jeep Антонио Филоса в пятницу проинформировал средства массовой информации о планах компании на пр...

У АвтоВАЗа есть свой 1,4-литровый турбированный 163-сильный мотор, но завод не видит спроса на такие Lada АвтоВАЗ прокомментировал судьбу турбированного двигателя, разработанного несколько лет назад инженерами тольяттинского автогиганта. На заводе заявили, что 1,4-литровый агрегат мощностью 163 л.с. и крутящим моментом 240 Нм пока не запускают в массовое производство, несмо...

Android будет не нужен? Помимо Huawei, Xiaomi и Vivo также перейдут на собственные операционные системы Китайские производители смартфонов занимаются разработкой собственных операционных систем, следуя по пути, проложенному компанией Huawei, о чем заявил известный инсайдер Ice Universe. После Huawei HarmonyOS, Xiaomi выпустит систему MIOS собственной разработки. Vivo...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

MacBook Pro на М4 выйдет в первом квартале 2025 года Новый MacBook Pro на базе процессора M4 от компании Apple, если верить западным инсайдерам, уже находится в стадии активной разработки, но это вовсе не значит, что новая система на кристалле появится в ближайшее время — специалисты уверены, что с учётом сроков на производств...

Samsung будет продавать Galaxy Book4 Edge за 2000 долларов С тех пор как компания Qualcomm официально анонсировала свои ARM-чипы серии Snapdragon X Elite для ноутбуков на базе Windows, крупные производители ноутбуков начали гонку в попытках первыми выпустить ноутбук на новой платформе — это позволит получить преимущество над конкуре...

Илон Маск поперхнулся: Xiaomi представила свой первый электромобиль Пока многие из нас потешались над китайскими производителями техники, за последнее время они сделали большой рывок, и яркое тому свидетельство — компания Xiaomi. Представив в 2010 году оболочку MIUI, уже в 2021-м она стала мировым лидером по объему выпуска смартфонов и знач...

Samsung выпустит странного конкурента iPhone 16 и лице недорого складного смартфона начального уровня По данным The Elec, что Samsung хочет сделать новый складной смартфон начального уровня привлекательной альтернативой базовому iPhone 16. Издание со ссылкой на источники в цепочке поставок, утверждает, что Samsung ускорила график производства компонентов своих складных ...

MediaTek заключила контракт с Samsung Согласно информации инсайдеров, корейский гигант Samsung согласился на подписание эксклюзивной сделки с MediaTek, благодаря которой чипы MediaTek могут быть использованы в предстоящих моделях смартфонов бренда в бюджетном и среднем сегментах. Если верить этому инсайду, компа...

Представлен «Хончи HS5 Нью» (Hongqi HS5) Премиальный китайский бренд Hongqi показала в России свой обновленный кроссовер HS5, о чем сообщает Autonews. Как сообщала представители компании в ходе презентации на ПМЭФ, данная модель официально появится в стране в 2024 году. В компании уточнили, что Hongqi HS5 уже ...

Китай отлично справляется с санкциями США. Особенно преуспели производители оборудования для производства чипов По мере того как США ужесточают ограничения в отношении китайской полупроводниковой промышленности, всё большую выгоду из ситуации извлекают китайские производители оборудования, используемых для производства чипов. Заказы на их продукцию в последние месяцы увеличиваютс...

Производство 2-нанометровых чипов обойдётся на 50% дороже 3-нанометровой технологии Возможность производства нового поколения пластин с поддержкой 2 нм может стоить примерно 28 миллиардов долларов

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

Наконец-то новый дизайн. iPhone 16 Pro можно будет сразу узнать по камере, как утверждает инсайдер Инсайдер Majin Bu получил информацию о том, что iPhone 16 Pro будет иметь новый дизайн модуля камеры, при этом он опубликовал рендер, демонстрирующий дизайн, который в данный момент тестирует Apple. Позже его источник, который попросил сохранить его анонимность, добавил...

Samsung и SK hynix добились от США бессрочного права поставлять в Китай оборудование для выпуска чипов Samsung Electronics и SK Hynix будет разрешено поставлять американское оборудование для выпуска чипов на свои китайские заводы без отдельных разрешений США, о чем сообщили в администрации президента Южной Кореи и в пресс-службах компаниях. Как пишет Reuters, ранее ...

Apple разрабатывает умное кольцо Сегодня появилась информация о том, что компания Apple разрабатывает новое умное кольцо, которое будет конкурировать с Galaxy Ring от Samsung. Хотя подробностей на данный момент не так уж много, инсайдеры сообщают, что Apple изучает целый ряд новых носимых устройств, чтобы п...

Китайцы с нетерпением ждут Huawei P70, он возглавляет поисковые запросы, а Huawei хочет выпустить лучший камерофон Смартфон Huawei P70 возглавляет рейтинг самых ожидаемых товаров, по версии пользователей китайской социальной сети Weibo. Это самый частый поисковый запрос, при этом официальные лица Huawei пока не опубликовали никаких новостей о смартфоне. Смартфоны Huawei P70 будут оч...

Nokia, живи. В текущем году на рынок выйдет минимум 17 новых мобильных телефонов Nokia Как известно, компания HDM Global решила сконцентрироваться на собственном бренде на рынке смартфонов вместо использования бренда Nokia. Однако мобильные аппараты Nokia как минимум в этом году точно никуда с рынка не денутся. Более того, появится минимум 17 новых моделе...

АвтоВАЗ завалит россиян машинами с «автоматом»: выпуск Lada Vesta с МКПП сократят наполовину АвтоВАЗ решил наполовину сократить выпуск версий с Lada Vesta «механикой», значительно наращивая производство моделей с автоматической коробкой передач (вариатором), о чем сообщает Telegram-канал No Limits со ссылкой на собственный источник на заводе. Уже эт...

Huawei продала мобильных процессоров на 6 миллиардов долларов Релиз нового процессора Kirin 9000S в прошлом году, а затем и его улучшенной версии Kirin 9010, который используется в новейшей серии смартфонов Huawei Pura 70, помог компании вернуться на рынок смартфонов после достаточно длительного простоя. По данным инсайдеров из Азии, п...

Xiaomi 15 выйдет в октябре 2024 года На прошлой неделе появилась информация от инсайдеров о том, что у компании Xiaomi есть эксклюзивное право на первый запуск смартфона на базе грядущего процессора Snapdragon 8 Gen 4 от Qualcomm, а теперь появились данные о том, когда этот релиз можно будет ждать. Согласно ано...

Смартфоны Huawei Mate 60 «взорвали рынок». Huawei резко увеличила план по выпуску новых смартфонов Из-за высокого спроса на серию Mate 60 компания Huawei поставила цель по поставкам смартфонов в следующем году на уровне 100 миллионов единиц. Это на 40% больше, чем компания планировала до выпуска серии Mate 60. Лукас Чжун, аналитик Canalys, подтвердил, что новые проду...

Apple выпустит Mac Mini сразу на процессоре М4 В прошлом году компания Apple официально обновила линейку ноутбуков MacBook Pro и MacBook Air своим новым процессором M3, но этот чип всё ещё не добрался до десктопных компьютеров Mac Mini и Mac Studio. А теперь появилась информация о том, что Mac Mini на M3 вообще не увидит...

Непримечательная компания захватывает рынок: рост акций на 390% благодаря буму искусственного интеллекта и запатентованной технологии герметизации чипов Одним из бенефициаров растущего спроса на память с высокой пропускной способностью, вызванного развитием искусственного интеллекта, является компания Towa Corp. из Киото. Компания является производителем оборудования для микросхем, отвечающих потребностям разработч...

Российские аналоги Mercedes-Benz S- и E-классов, недорого. Aurus запустит производство новых автомобилей Глава Минпромторга Денис Мантуров анонсировал скорый запуск производства в России новых автомобилей Aurus, они будут меньше и дешевле нынешнего Aurus Senat. Фото: Aurus «Мы планируем в ближайшее время запустить в производство линейку автомобилей категории S-класс...

Vivo X Fold3 представят уже 26 марта На протяжении достаточно длительного времени мы слышали информацию о том, что компания Vivo планирует запустить свою новую линейку складных смартфонов, а теперь появилась неофициальная информация о том, что это произойдёт уже совсем скоро. Собственно, эти данные поступили от...

Microsoft верит, что ноутбуки с SoC Snapdragon X Elite превзойдут MacBook Air на SoC M3 Уже в ближайшие месяцы на рынок выйдут первые ноутбуки с Windows и SoC Snapdragon X Elite/Plus. Microsoft считает, что такие ПК превзойдут ноутбуки Apple на основе SoC M3.   В данном случае имеется в виду преимущество по классической производительности, а также по...

Ноутбук Qingyun L540 от Huawei с китайским процессором: что за девайс? Компания Huawei представила пользователям новый девайс — ноутбук Qingyun L540 с 5-нанометровым процессором Kirin 9006C. Устройство продолжает серию лэптопов L410, в которых ранее использовался восьмиядерный чипсет Kirin 990. Насколько можно судить, Huawei, несмотря на санкц...

Китайские компании предоставят оборудование и технологии для производства каменной ваты в России На Российско-китайском форуме межрегионального сотрудничества было подписано соглашение о строительстве завода по производству каменной ваты в Оренбургской области с использованием китайских технологий и оборудования. Завод планируется разместить в городе Новотроицке.

Zhaoxin KX-7000 протестировали в бенчмарках Недавно в Китае была представлена новая серия процессоров KX-7000 от компании Zhaoxin, предназначенных для настольных ПК для внутреннего рынка страны — продавать их официально за пределами Китая никто не планирует. А сегодня процессоры этой серии впервые появились в базе дан...

48 ГБ памяти и производительность почти на уровне RTX 4070 — это новый китайский графический ускоритель Moore Threads MTT S4000 Китайская компания Moore Threads представила новую графическую карту MTT S4000, которая оснащается огромным объёмом памяти.  Это не потребительская видеокарта, а ускоритель для ЦОД и в том числе для ИИ. В основе новинки лежит GPU с архитектурой MUSA третьего покол...

Samsung работает над 1-дюймовым датчиком изображения Сегодня появилась достаточно интересная информация о том, что южнокорейская компания Samsung, судя по данным некоторых крупных инсайдеров, начала работу над камерой размером в 1 дюйм, но, к сожалению, на разработку такого крупного проекта нужно много времени и в конечном ито...

В этом году дочерняя компания МТС «Иртея» планирует запустить 300 базовых станций Российский разработчик телекоммуникационного оборудования «Иртея» — дочерняя компания сотового оператора МТС — планирует в 2024 году начать эксплуатацию 300 своих базовых станций. Об этом рассказал на конференции ЦИПР-2024 генеральный директор ко...

Новые процессоры Intel получат максимум четыре больших ядра без Hyper-Threading и четыре малых, которые к тому же будут ограничены. Появились новые данные о Lunar Lake Несмотря на то, что до выхода процессоров Intel Lunar Lake ещё довольно далеко, информации о них в Сети всё больше. Новые данные раскрыли максимальную конфигурацию, которая будет возможна в данной линейке.  Итак, топовый процессор Lunar Lake получит четыре больших...

Китайский институт исследований киберпространства создал модель, подобную ChatGPT, ориентированную на официальную идеологию. Она обучена на «мыслях Си Цзиньпина» Китайский институт исследований киберпространства (China Cyberspace Research Institute), работающий под управлением Администрации киберпространства Китая (Cyberspace Administration of China), запустил LLM модель (Large Language Model, Большая языковая модель), орие...

Новые субсидии в КНР будут направлены на создание оборудования для производства чипов и выпуск материалов Без этого добиться технологического прорыва будет проблематично.

Intel не осилила выпуск процессоров Core Ultra 7 и Core Ultra 9 и передаст их производство TSMC По данным аналитиков, серии Lunar Lake и Arrow Lake будут выпускаться по 3-нм узлу на фабриках TSMC

TSMC увеличивает производство корпусов CoWoS на 20%, чтобы удовлетворить растущий спрос TSMC увеличит свои мощности по производству корпусов ( CoWoS ) в ответ на стремительно растущий спрос со стороны таких крупных клиентов, как Nvidia, Apple, AMD, Broadcom и Marvell.

Что выпускает бывший российский завод заводе Peugeot-Citroёn: новые детали Издание Autonews опубликовало дополнительное подробности о первой модели автомобиля, который собирают на заводе «ПСМА» в Калужской области, где ранее выпускали автомобили Peugeot, Citroen, Opel и Mitsubishi для России. Вчера мы уже писали, что речь идёт о кр...

Разработчик процессоров «Эльбрус» учредил новую компанию Разработчик российских процессоров «Эльбрус» АО МЦСТ учредил новую структуру — ООО «Эльбрус», основной вид деятельности которого разработка программного обеспечения». Об этом сообщает издание «Коммерсантъ». Новая компания ...

В России будут развивать новое направление - радиофотонику По сообщению госкорпорации «Ростех», на базе НИИ «Полюс» холдинга «Швабе» будет создано новое технологическое направление: институт будет осуществлять научную деятельность по развитию радиофотоники. Это позволит проводить масштабные и...

Стартап из Великобритании Space Forge готовит спутник для производства полупроводников в космосе Компания Space Forge потеряла свой первый экспериментальный спутник в январе во время неудачного запуска ракеты LauncherOne от Virgin Orbit. Новый спутник, названный ForgeStar-1, отправится в США для запуска в конце 2023 года или в начале 2024 года. Space Forge подписал...

Huawei жертвует производством SoC Kirin и смартфонов Mate 60 ради выпуска ускорителей для искусственного интеллекта Компания Nvidia, как ранее сообщалось, частично жертвует производством GPU для игровых видеокарт в пользу ускорителей для ИИ. Похоже, примерно то же будет делать Huawei.  Как сообщается, китайский гигант намерен отвоевать у Nvidia часть её доли на рынке ускорителе...

13 дюймов, AMD Ryzen и масса до 1 кг. Новый HP Star Book Pro 13 на подходе Новый ноутбук HP с модельным номером TPN-C179 недавно прошел сертификацию 3C, что указывает на его скорый выход. Он оснащен адаптером питания мощностью 65 Вт. Информация Китайского центра сертификации качества CQC показывает, что ноутбук HP Star Book Pro 13 за рубежом н...

Apple Vision Pro — в топку! HUAWEI скоро выпустит свою AR-гарнитуру, которая будет дешевле и круче Очевидно, главная технологическая новинка 2024 года — гарнитура смешанной реальности Apple Vision Pro. Устройство, которое производитель позиционирует как пространственный компьютер, стало поводом для создания тысяч мемов из-за своей необычности и цены, достигающей в России...

И снова Samsung впереди всех. Компания первой приступила к массовому производству флеш-памяти V-NAND девятого поколения. Это микросхемы TLC ёмкостью 1 Тбит Samsung Electronics объявила о том, что первой на рынке запустила производство флеш-памяти V-NAND девятого поколения.  В данном случае речь о памяти TLC ёмкостью в 1 Тбит. Вероятно, это новая 290-слойная память, но в пресс-релизе Samsung об этом не говорит.  ...

20 млрд рублей или больше: столько «Русал» потратит на замену иностранных автоматизированных систем управления технологическим процессом Российский производитель алюминия «Русал» планирует заменить иностранные автоматизированные системы управления технологическим процессом (АСУТП) на алюминиевых и глиноземных заводах до конца 2031 года. На данный момент говорится о сумме 20 млрд рублей, котор...

Мощи Snapdragon 8 Gen 4 достаточно, чтобы конкурировать даже с Apple M2. В Сети появились первые тесты Пока на рынке только начинают появляться первые смартфоны с SoC Snapdragon 8 Gen 3, в Сети уже появились результаты тестирования Snapdragon 8 Gen 4.  Само собой, речь не может идти о серийных платформах, так что к результатам стоит относиться соответствующе. Но он...

У Toyota появился новый кроссовер: компания запустила в производство Toyota C-HR второго поколения Toyota сообщила о начале производства кроссовера C-HR второго поколения. Выпускать автомобиль будут на предприятии Toyota в турецкой Сакарье – это первый европейский центр Toyota по производству гибридных автомобилей. Производственная линия рассчитана на выпуск 75...

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

Нет, Samsung не откажется от помощи AMD при создании собственного GPU. Сотрудничество компаний продолжится Возможно, недавние данные о том, что Samsung хочет отказаться от помощи AMD и создать полностью свой GPU, были несколько неверными.  Инсайдер Revegnus говорит, что корейский гигант действительно хочет создать свой графический процессор, но не без помощи AMD, а, на...

Закрытие завода по выпуску iPhone привело к появлению нового города-призрака в Китае: ежедневно рабочие съедали 60 тонн риса, 280 свиней, 1,2 млн яиц и 80 000 кур Компания Apple приняла решение прекратить производство iPhone на заводе Foxconn в китайском Наньнине. До этого на заводе трудилось 50 000 человек, а теперь производство переместили во Вьетнам, после чего фабрика опустела, да и в городе практически превратился в при...

Сбер запустит производство умных телевизоров в Новгородской области Сбер и компания-партнёр SberDevices планируют перенести до 50% производства умных телевизоров Sber на территорию особой экономической зоны «Новгородская». Об этом рассказала пресс-служба Сбербанка.  Иллюстрация: SberDevices Инвестиционный проект был пр...

Яндекс продаёт свою долю в производстве серверов OpenYard Яндекс и группа компаний «Ланит» выходят из совместного предприятия по производству серверов под торговой маркой OpenYard и продают свои доли группе частных инвесторов. Об этом сообщила пресс-служба Яндекса.  Предприятие сохранит за собой производство ...

Бывший завод Mercedes начнет собирать китайские машины уже в мае Перезапуск производства на бывшем российском заводе Mercedes-Benz в Подмосковье состоится уже очень скоро — в следующем месяце. Об этом сообщил губернатор Московской области Андрей Воробьев. «[Завод] Mercedes с мая начинает работать, сойдут новые автом...

Skoda официально будет покорять Казахстан с Octavia, Kodiaq, Kamiq и Karoq. Компания планирует выпускать 200 000 машин ежегодно Компания Skoda официально подтвердила предыдущую информацию о том, что она собирается начать производство автомобилей в Казахстане. Крупнейший производитель автомобилей в Чешской Республике планирует вернуться в Казахстане, откуда компания ушла в 2021 году, сразу с четы...

Intel 10A представят в 2028 году Сегодня компания Intel официально анонсировала передовой технологический процесс под названием 10A (на деле это 1 нм) — производитель планирует его внедрение примерно в 2028 году, но пока что это только предположение. Кроме того, стоит понимать, что значит, что компания Inte...

Дату релиза Operation Apocalypse сместили на осень Когда в середине марта игра Operation Apocalypse появилась в китайском App Store, её релиз был намечен на 30 июня. Теперь же дату сместили на 9 сентября 2024 года. Речь пока идёт только о версии для Китая; стоит ожидать, что вскоре после неё появится отдельная версия для стр...

При создании Snapdragon 8 Gen 4 Qualcomm использует наработки 2016 года. Новая платформа получит собственные ядра, и их может быть 12 На мероприятии Snapdragon Summit 2023 компания Qualcomm представила передовую однокристальную систему Snapdragon X Elite для ноутбуков под управлением Windows. Ее особенностью стал мощный центральный процессор Oryon собственной разработки. И, как оказалось сейчас, этот ...

iPhone 17 станет первым смартфоном Apple, разработка которого стартует за пределами Китая Компания Apple всё активнее будет переносить производство своих iPhone из Китая в Индию. Как сообщает аналитик Tianfeng International Минг-Чи Куо (Ming-Chi Kuo), базовый iPhone 17 станет первым смартфоном Apple в истории, разработка которого стартует за пределами Китая....

Полупроводниковое оборудование у TSMC деактивируют в случае конфликта на Тайване По данным Bloomberg, нидерландская компания ASML предусмотрела в своих установках для экстремальной УФ-литографии возможность дистанционного отключения.

От роботов-пылесосов к топовым внедорожникам: в России официально появятся машины Rox Motor Молодая и амбициозная компания Rox Motor, основанная в 2021 году генеральным директором известного производителя роботов-пылесосов Roborock, готовится к официальному выходу на российский рынок. Новость о планах компании была озвучена на международном автосалоне в Пекине...

Новый раскладной смартфон Tecno Phantom V2 Fold уже на подходе Компания Tecno, судя по всему, работает над преемником своей раскладной модели Phantom V Fold. Новинка, очевидно, получит название Tecno Phantom V2 Fold, ранее она была замечена в базе IMEI, а теперь засветилась и в бенчмарке Geekbench. Благодаря этому стали известны не...

Bing Chat получил поддержку DALL-E 3 Система генерации изображений в Bing Chat, которая работает на базе искусственного интеллекта, сегодня получила значительное обновление — компания Microsoft объявила, что модель DALL-E 3 от OpenAI теперь доступна для всех пользователей Bing Chat и Bing Image Creator. Стоит о...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

NVIDIA сняла с производства самые «народные» видеокарты линейки RTX 40XX В сети появилась информация о не самом приятном решении NVIDIA — компания прекратила производство двух популярных моделей видеокарт. Речь идёт об RTX 4070 Ti и RTX 4080.

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

Испытания первого российского литографа уже начались Заместитель министра промышленности и торговли РФ Василий Шпак сообщил, что первый российский литограф уже создан и проходит испытания. О планах по выпуску отечественного литографа Шпак упоминал ещё в конце прошлого года. Пока оборудование такого уровня сложности собира...

Наконец-то заметный скачок: Samsung Galaxy Watch 7 получат очень быструю платформу и смогут работать долго без подзарядки По данным аналитика The Galox, однокристальная система Exynos W940, которая будет установлена в Samsung Galaxy Watch 7, будет примерно на 50% эффективнее и на 30% быстрее, чем SoC в предыдущей модели. Помимо обновления чипсета, Galaxy Watch 7, как сообщается, будут имет...

Губернатор Никитин: новые «Волги» по качеству не будут уступать собиравшимся в России Volkswagen и Skoda Глеб Никитин, губернатор Нижегородской области, выступая на ПМЭФ 2024 раскрыл интересную деталь о производстве новой «Волги». По его словам, машины будут выпускать на том же оборудовании, которое использовалось для производства машин Volkswagen и Skoda. Vol...

Apple готовит процессор на 2 нанометрах В сентябре текущего года на фирменном мероприятии компания Apple анонсировала новые модели iPhone 15 Pro с флагманским чипом A17 Pro. Это было достаточно интересное решение, так как стандартные модели без индекса Pro всё ещё оснащены чипом A16 Bionic и производятся по старом...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

OnePlus готовит «прорыв в области автономной работы»: будет представлен аккумулятор Glacier Battery Компания OnePlus объявила о сотрудничестве с крупнейшим в мире производителем аккумуляторов для электромобилей CATL. В своём аккаунте Weibo OnePlus сообщила о разработке новой аккумуляторной технологии OnePlus Glacier Battery. Презентация, посвящённая новой разработке, ...

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

В Москве начали выпускать российские печатные платы В Москве появилось новое импортозамещающее производство, где выпускают печатные платы, о чем заявил мэр города Сергей Собянин. Производство наладили на заводе «Протон» на площадке «МИЭТ» в ОЭЗ «Технополис Москва». Печатные платы являю...

Завод Xiaomi может производить электромобиль каждые 76 секунд благодаря автоматизации процесса Китайская технологическая группа полагается на высокую степень автоматизации при производстве своего первого электромобиля.

Гонка между Intel, Samsung и TSMC за выпуск первого 2-нм чипа Крупнейшие производители полупроводниковых чипов соревнуются в создании 2-нанометровых процессоров для будущих устройств, включая смартфоны и серверы.

Xiaomi снова занимается разработкой собственной платформы для своих смартфонов. Прошлый опыт компании был не особо успешным Компания Xiaomi работает вместе с Arm над некой однокристальной системой для смартфонов.  Об этом рассказал генеральный директор MediaTek, а также эту информацию можно найти в одном из отчётов Couterpoint.  Пару лет назад уже сообщалось, что с Arm над собстве...

У Hyundai снова получился хит. Очередь на новейший кроссовер Exter за 7 тыс. долларов растянулась уже на 9 месяцев Hyundai представила кроссовер Exter в Индии в июле текущего года, а сейчас появились данные о заказах, и они впечатляют: уже собрано более 75 тыс. заявок на покупку Exter. А ведь от момента премьеры прошло всего три месяца. Изображение: Hyundai Само собой, производство...

Samsung и AMD заключили очень крупный для обеих компаний контракт. Samsung будет поставлять AMD новейшую 12-слойную память HBM3e Компания Samsung заключила соглашение с AMD на сумму в 3 млрд долларов. В рамках этого соглашения Samsung поставит AMD новейшую память HBM3e.  Речь о новейшей разработке Samsung в виде 12-слойных стеков HBM3e, массовое производство которых начнётся позже в этом го...

Замена Nokia, Ericsson и Huawei. В России появились китайские базовые станции BeijinTech На российский рынок производителей базовых станций сотовой связи, с которого ушли Nokia, Ericsson и Huawei, выходит китайская компания BeijinTech, о чем сообщают «Известия». Компания демонстрировала свое оборудование на форуме «Цифровая индустрия промы...

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Американские производители оборудования для выпуска чипов не боятся снижения спроса со стороны китайских клиентов Даже если речь идёт о перспективе следующего года.

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

В смартфонах Xiaomi 15 появится новая технология сканирования отпечатков пальцев Известный инсайдер с ником Digital Chat Station поделился новой информацией о предстоящих флагманах серии Xiaomi 15. По данным источника, компания намерена оснастить смартфоны новой технологией сканирования отпечатков пальцев, которая отличается высокой надёжностью и точност...

Такой шильдик будет на новых «Волгах». Появилось первое изображение Появилось изображение логотипа, который должен присутствовать на новых автомобилях «Волгах», а точнее Volga — да, он выполнен латиницей, как и соответствующий товарный знак. А вот и фирменный логотип для «новой Волги». Графическое решение &...

Samsung может разорвать партнерство с AMD и создать собственный графический процессор Samsung использует графические процессоры Xclips, созданные в сотрудничестве с AMD, в своих флагманских чипах Exynos. При этом инсайдер @rquandt намекнул, что Samsung может прекратить партнерство с AMD после 2025 года. «В 2026 году Samsung, похоже, нацелена на вып...

В этом году «Москвич» хочет продать 26 тысяч автомобилей В этом году планируется продать около 26 тысяч автомобилей «Москвич», рассказал гендиректор завода КамАЗ (технологический партнёр «Москвича») Сергей Когогин. Он упомянул об этом в кулуарах съезда Российского союза промышленников и предпринимателе...

«Мы ждём отечественный, нижегородский, бренд», — «Волга» может вернуться в недалеком будущем Губернатор Нижегородской области Глеб Никитин сообщил, что власти региона планируют перезапустить производство автомобилей под собственным брендом. «Автопром, безусловно, окреп, я это абсолютно ответственно говорю. Он был более зависим от конъюнктуры, от различных...

В Россию едет новый бренд Sinomach с внедорожником Paladin Компания Sinomach Automobile собирается выйти на рынок легковых автомобилей в России, как сообщает информационный портал «Газета.Ru», ссылаясь на представителей китайского предприятия в России. Представители Sinomach сообщили, что первой моделью, предназначе...

iQOO Z9 Turbo получит 80-Вт зарядку В базе данных китайского регулятора 3C появилась информация о смартфоне iQOO Z9 Turbo, который еще не был представлен официально. Агенство подтвердило наличие поддержки 80-Вт проводной зарядки, модема для сотовых сетей пятого поколения и адаптеров питания V8073L0A1-CN и V807...

Samsung Galaxy S24 полностью слит в сеть до презентации Сегодня в сети появилась очень масштабная утечка данных о серии смартфонов Galaxy S24, и в этой публикации есть буквально вся интересующая вас информация о будущих смартфонах производителя. В утечке инсайдеры сообщили официальную дату анонса, представили все маркетинговые ма...

Samsung может переоборудовать фабрику в Техасе для производства чипов по 2-нанометровой технологии Ранее компания планировала запустить на данном предприятии производство 4 нм чипов.

Test Jig. Подготовка к массовому производству По какой-то непонятно причине в ru сегменте довольно мало информации о том, что такое Test Jig и зачем он нужен. Он используется в массовом производстве электронных устройств, даже не содержащих микроконтроллер. Каждая плата, прошедшая сборочный конвейер, должна быть протест...

Особенности и преимущества TCL с Google TV Компания TCL - это бренд, который занимается, как производством, так и разработкой бытовой техники, мобильных гаджетов, дисплеев и телевизоров. Компания была основана в 1981 году путём слияния TTK Home Appliances и TCL Communication Equipment. В двухтысячных годах корпорация...

Так будет выглядеть совершенно новая «Волга»? На ГАЗе засняли Changan Raeton Plus, он может превратиться в возрождённую «Волгу» В Минпромторге ещё в прошлом году анонсировали возрождение легендарного отечественного автобренда «Волга», и уже тогда стало понятно, что путь будет таким же, как у «Москвича». Но не был известен китайский партнер: учитывая статус «Волги&ra...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

КамАЗ приступил к работе в новом 2024 году. Предприятие поделилось планами Главный сборочный конвейер КамАЗа сегодня, 9 января, снова заработал после приостановки производства на период январских праздников. В этом месяце завод планирует выпустить более 3,3 тыс. автомобилей. В 2024 году компания продолжит реализацию проектов, ориентированных н...

Microsoft разрабатывает серверное оборудование для ИИ, чтобы снизить зависимость от NVIDIA Агентство The Information сообщает, что Microsoft разрабатывает новую сетевую карту, которая должна повысить производительность серверного чипа Maia AI и снизить зависимость компании от решений NVIDIA. По информации источника, руководит проектом Прадип Синду (Pradeep Sindhu...

Huawei может ещё больше. Компания готовит новую SoC Kirin 830, которая выйдет в конце года и станет сердцем Nova 12 Компания Huawei может выпустить ещё одну новую платформу Kirin. Согласно данным инсайдера Wisdom Pikachu, Kirin 830 может выйти в конце года.  Платформа станет сердцем смартфонов линейки Nova 12. Если точнее, как минимум модели Nova 12, тогда как Nova 12 Pro получ...

Возможно, это самый опасный ИИ-инструмент, который будет доступен людям в 2024 году. Нейросеть OpenAI Sora выйдет на рынок уже скоро Нашумевшая недавно нейросеть Sora компании OpenAI в скором времени станет доступна всем желающим.  Технический директор OpenAI Мира Мурати рассказала, что Sora выйдет на рынок уже в этом году. Точную дату она не раскрыла, но добавила, что «это может занять н...

Память HBM уже в пять раз дороже DRAM. Всё из-за огромного спроса на ускорители для ИИ На фоне бума искусственного интеллекта возрос не только спрос на соответствующие ускорители, но и на память HBM, так как она используется в большинстве таких ускорителей. Оказалось, что это привело к существенному росту цен на такую память.  По данным исследователь...

Первая собранная «Волга» нового поколения появится в середине 2024 Вице-премьер и глава Минпромторга РФ Денис Мантуров, который занимает пост председателя совета директоров АвтоВАЗа, подтвердил планы на запуск производства автомобилей Волга в середине 2024 года в Нижнем Новгороде. Насколько мне известно, в ближайшее время нижегородский...

Санкции США привели к тому, что китайская SMIC поднялась на третье место в мировом рейтинге контрактных производителей полупроводниковой продукции Крупнейший китайский производитель микросхем, Semiconductor Manufacturing International Corporation (SMIC), поднялся на третье место в мировом рейтинге контрактных производителей микросхем, согласно данным TrendForce.   фото: Visual China Group | Getty Images...

В Челябинске начнут производить новейшие высокотемпературные двигатели Изображение иллюстративное В третьем квартале текущего года в России будет запущено массовое производство новейших двигателей, устойчиво работающих при температуре более 100 градусов. Данные моторы предназначены для применения в технике и механизмах, функционирующих в экстр...

Завод Toyota наладит выпуск совершенно новых Aurus при участии зарубежного партнёра. Заявлено 4 модели Вице-премьер - глава Минпромторга РФ Денис Мантуров заявил, что бывший завод Toyota Motor в Шушарах займется производством новой линейки автомобилей Aurus. Первые автомобили новой линейки Aurus, которые будут собраны на новом заводе, ожидаются в конце года, а выпускать ...

Как 3D принтеры используют для производства дронов? (Часть 1) Проектирование и создание дронов возможно с помощью 3D печати и 3D моделирования. Где используются беспилотники, из каких элементов состоят дроны и какой пластик выбрать для изготовления разных деталей? В этой статье вы узнаете все о процессе создания беспилотников, выборе п...

Sungrow Hydrogen выиграла тендер на крупнейший в мире проект по производству зеленого водорода, аммиака и метанола   Компания Sungrow Hydrogen выиграла тендер на участие в проекте «Индустриальный парк водородной энергии Songyuan» Китайской корпорации энергетического машиностроения (CEEC) в Цзилине, который является крупнейшим в мире интегрированным проектом по производству зеленого ...

Процессор Snapdragon 8 Gen 4 будет работать на частоте 4,3 ГГц Некоторое время назад западные инсайдеры поведали достаточно интересную информацию о том, что новый флагманский процессор Snapdragon 8 Gen 4 сможет достигнуть частоты в 4,00 ГГц, что позволит компании Qualcomm показать значительный отрыв от Snapdragon 8 Gen 3, чьи ядра Corte...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

NVIDIA GeForce RTX 5090 выпустят до конца текущего года Судя по информации иностранных инсайдеров, следующее поколение видеокарт NVIDIA GeForce RTX 5090 и RTX 5080 на архитектуре Blackwell должно выйти на рынок в четвёртом квартале 2024 года. Стоит напомнить, что текущий год начался с выпуска серии GeForce RTX 40 SUPER, которая п...

XCMG Excavator представила новую серию G для мирового рынка на 6-м Фестивале клиентов   XCMG Excavator, специализированное подразделение компании XCMG Machinery по производству экскаваторов, представило свои новые экскаваторы серии G на шестом Международном фестивале заказчиков, проходившем на ее производственной базе экскаваторного оборудования. Меропри...

Уникальный смартфон Nokia, выпущенный в Европе в количестве всего 30 штук. HMD Global представила Nokia XR21 Limited Edition Компания HMD Global представила свой первый смартфон Nokia, произведённый в Европе.   Производство налажено в Венгрии, и первым смартфоном, который там производится, стал защищённый Nokia XR21. Правда, пока что HMD заказала только партию из 50 таких аппаратов, а в...

Покойся с миром, Nokia! К выпуску готовятся смартфоны HMD Phone – первые подробности о первых ласточках Генеральный директор HMD Global Жан-Франсуа Бариль (Jean-Francois Baril) ещё в сентябре объявил о планах компании выпускать новые технологические продукты будут выпускаться под собственным брендом. Теперь же стали известны подробности о первых смартфонах HMD.  Ко...

Microsoft наконец-то позволила удалить OneDrive из Windows Компания Microsoft была вынуждена сознаться в том, что некоторые базовые сервисы ее экосистемы все же возможно удалить или заменить альтернативными аналогами. Пока что речь идет об облачном хранилище OneDrive, которое предлагается к использованию в Windows по умолчанию. Ране...

Китай вложил 40 миллиардов долларов в импорт оборудования для производства чипов в 2023 году После заявлений о скорых санкциях со стороны США, китайские компании начали активно скупать всё новейшее оборудование

Redmi K70 Ultra будет работать на базе нового Dimensity 9300 от MediaTek с OLED-экраном 1,5K После успешного выпуска Redmi K70 и двух схожих моделей, появились неофициальные слухи о том, что компания Xiaomi планирует представить новую флагманскую модель из серии Redmi K70.

В Рязани начался массовый выпуск серверов OpenYard Компания «Центр открытых разработок» объявила о запуске массового производства ИТ-оборудования на собственном заводе, который расположен на территории технопарка «Рязанский». Комплекс общей площадью свыше 8 тыс. кв. м обеспечивает производство по...

Apple готовит складной iPad на начало 2026 года Сегодня сразу несколько инсайдеров сообщили, что они получили информацию из заметок, предназначенных для инвесторов, в которой утверждается, что Apple опережает график по разработке своих новых устройств. Более того, эти данные подтверждают тот факт, что компания Apple работ...

В России представлена электробритва ENCNEN Oceanstone Сегодня бренд потребительской электроники ENCHEN официально представил на российском рынке новый и достаточно интересный гаджет, который очень понравится мужской аудитории. Речь идёт про роторную бритву ENCNEN Oceanstone, которая позволяет ежедневно выполнять процесс сухого ...

АвтоВАЗ принял новое решение по LADA Vesta: производство панели приборов перенесут Большая задержка с перезапуском производства Lada Vesta была обусловлена переносом всего необходимого оборудования из Ижевска в Тольятти. Но, как оказалось, перенесли не всё: панели приборов по-прежнему производили в Ижевске, а в Тольятти осуществляли лишь досборку комп...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

iPad Pro с М4 на 45% мощнее предшественника Два дня назад компания Apple официально представила новые iPad Pro и iPad Air — например, теперь Air доступен в диагоналях 11 и 13 дюймов, как и модели Pro-серии. Интересно также и то, что Air в некотором смысле является iPad Pro SE, как как новые модели 2024 года используют...

Tank 500 будут собирать в России, а его 3,0-литровый турбомотор пропишется под капотом Aurus Сергей Цыганов, автоэксперт и автор Telegram-канала «Русский автомобиль», раскрыл неожиданные подробности о Tank 500. Оказывается, этот люксовый китайский внедорожник, довольно популярный в России, будут производить на заводе Haval – сборку организуют ...

«Росэлектроника» начала выпуск СВЧ-модулей для радаров Фото: «Росэлектроника» «Росэлектроника», интегрированная в обширную структуру «Ростеха», завершила разработку и приступила к массовому производству семейства отечественных СВЧ-модулей, которые входят в состав радиоэлектронной аппаратуры с наземным базированием. При этом мод...

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

Раскрыта информация как будет выглядеть новый Honor Magic6 RSR в дизайне Porsche По данным инсайдеров, в предстоящую серию смартфонов Honor Magic6 войдут не только базовая и Pro-версии, но и лимитированная модель RSR Porsche Design

В сети появились первые рендеры ASUS ROG Phone 8 Сегодня появилось официальное подтверждение о запуске геймерского смартфона ROG Phone 8, который должен выйти в ближайшем будущем, а также первые рендеры, показывающие как будет выглядеть смартфон на момент релиза. Стоит отметить, что компания ASUS собирается представить сма...

Сбербанк начал производство собственных серверов для нужд своих дата-центров Собственное производство серверного оборудования позволит банку повысить технологический суверенитет и сократить издержки на оптимизацию.

AMD выпустит новые графические процессоры для ноутбуков RX 7700M/7800M на CES 2024 По данным TechPowerUp, AMD вскоре выпустит видеокарты для ноутбуков на базе графического процессора Navi 32. Речь идёт о моделях под названием RX 7700M и RX 7800M. До этого момента AMD выпустила различные графические процессоры для ноутбуков серии RX 7000, включая высок...

«Раскладушки» на пике популярности в России: продажи взлетели вдвое В России бум продаж раскладных смартфонов с гибкими экранами - по данным участников рынка, за последний год спрос на такие модели вырос в 1,5-2 раза. Драйверами роста эксперты называют появление новых брендов-производителей «раскладушек» и общий тренд на экс...

Производство Lada Vesta модернизировали и продолжают улучшать. Это позволит экономить 6 млн рублей ежегодно АвтоВАЗ модернизировал производство своей флагманской модели, о чем сообщают «Автоновости дня». Речь идёт об автоматизации рабочих процессов в цехе сборки силовых агрегатов для Lada Vesta. На заводе рассказали, что модернизация линии участка сбора двигателей...

Рынок ARM-чипов расширяется, но для Intel это не проблема: мнение генерального директора компании Многие компании уже выпускают, а некоторые — лишь собираются выпускать процессоры на ARM-архитектуре. Среди наиболее коммерчески успешных моделей — чипы от Apple, а также Qualcomm. Также к производителям таких чипов собираются присоединиться Nvidia и AMD. Правда, эти две ком...

Когда выйдет Samsung Galaxy S24 и каким он будет Мало кто сомневается, что Samsung совсем скоро выкатит новый смартфон серии Galaxy — S24. Можно даже с большой долей вероятности угадать, что выйдет он в начале следующего года, то есть через буквально пару месяцев. Но это только предположения, лишенные какой-то основ...

Jaguar Land Rover и Chery открещиваются от совместной разработки машин Jaguar Land Rover официально прокомментировала информацию о том, что Jaguar Land Rover сотрудничает с Chery над созданием новых автомобилей класса люкс. «Эта новость ложная», — заявили в Jaguar Land Rover. Кроме того, представитель Chery Group Цзинь Иб...

Сборку бестселлера Lada Granta запускают на новом заводе На следующей неделе в Азербайджане стартует производство российских автомобилей Lada Granta методом крупноузловой сборки. Открытие нового сборочного предприятия ожидается в последние дни марта в городе Гянджа. Как сообщил инсайдерский паблик «Нетипичный АвтоВАЗ&ra...

«Убийцу» Mercedes-Maybach GLS от Huawei начали выпускать в Китае. Большой, мощный и «умный» внедорожник Aito M9 засняли на конвейере Важное событие на китайском авторынке: в Чунцине на заводе Seres стартовало серийное производство флагманского кроссовера Huawei Aito M9. Интересно, что сама Huawei об этом ничего не сообщила – просто фабрику посетили репортеры и местные чиновники. Они ознакомилис...

Купил Samsung Galaxy S24 — заплати ещё и за искусственный интеллект. Стало известно, сколько будет стоить подписка на функции ИИ в Китае Компания Samsung выпустила смартфоны Galaxy S24 с различными функциями искусственного интеллекта. При этом, в отличие от всех остальных компаний, Samsung заявила, что эти функции будут бесплатны временно. Теперь же стало известно, сколько придётся за них платить.  ...

MediaTek анонсировала релиз процессора Dimensity 9400 Процессор Dimensity 9400 станет первым 3-нм чипом компании MediaTek, который, как утверждается, будет использовать второе поколение литографии TSMC, что приведёт к повышению энергоэффективности и другим преимуществам в области производительности. И вчера генеральный директор...

Крупнейшие технологические прорывы 2023 года, которые изменят нашу жизнь В 2023 году было совершено несколько серьезных технологических прорывов, которые изменят нашу жизнь Мир технологий постоянно развивается, и учеными регулярно свершаются определенные достижения, но часто они остаются незамеченными. Лишь со временем мы обнаруживаем, что наша ж...

Qualcomm готовит к релизу Snapdragon 8s Gen 3 Флагманский процессор Snapdragon 8 Gen 3 от компании Qualcomm достаточно длительное время был невероятно популярным решением и является самым мощным чипом для Android-смартфонов на текущий момент. Однако появилась информация о том, что в ближайшее время может появиться облег...

Корпорация Intel и United Microelectronics Corporation (UMC) объявили о сотрудничестве Корпорация Intel и United Microelectronics Corporation (UMC) объявили о сотрудничестве в области разработки платформы 12-нанометровых полупроводниковых процессов

Завтра в Ирландии начнётся выпуск продукции по технологии Intel 4 Это первый случай применения EUV-литографии в массовом производстве на территории Европы.

Только флагманы, только хардкор: линейка Redmi K80 останется без дешевой версии K80e Xiaomi работает над смартфоном Redmi K80, который вскоре должен появиться на внутреннем рынке бренда, в Китае. Новость поступила от информатора Smart Pikachu, который поделился информацией в Weibo. Инсайдер заявил, что работа над серией Redmi K80 продвигается быстрыми т...

Китай все активнее развивает индустрию производства чипов. И не всегда явно Относительно недавно мы публиковали статью «Huawei продолжает развиваться, только тайно». В ней говорилось о том, что Huawei сейчас строит новые предприятия по производству полупроводниковых элементов и систем на территории Китая. Причем делает это через посредников, скрыв...

Meizu представит свой последний смартфон 29 февраля. Раскрыты характеристики Meizu 21 Pro Meizu запланировала на 29 февраля в Китае мероприятие, в рамках которого компания представит смартфон Meizu 21 Pro. Разработка этой модели началась до того, как компания сообщила о сворачивании работ над новым смартфонами и концентрации усилий на разработке решений ИИ, ...

Intel снимает с производства процессоры Raptor Lake-S Сегодня компания Intel официально сообщила о своих планах по прекращению выпуска настольных процессоров 13-го поколения Raptor Lake-S с разблокированным множителем — начиная с текущего квартала BOX-версий чипа выпускать никто не будет. Последний срок для оформления заказов ...

«Росэлектроника» разработала обновленные маршрутизаторы для банкоматов, производство стартовало «Росэлектроника», входящая в состав Ростеха, выводит на рынок обновленные сервисные маршрутизаторы для банковского сектора. Как отметили в пресс-службе, благодаря специальным протоколам передачи данных с поддержкой шифрованных туннелей, оборудование может ис...

Прототипы действительно нового iPhone начнут выпускать уже в декабре 2023. Что известно об iPhone 16 Процесс прототипирования iPhone 16 уже начался, а в Чэнду построена линия по производству прототипов, где уже в декабре начнут собирать первые тестовые образцы. В марте-апреле следующего года планируют определиться с окончательным дизайном. Об этом пишет Mydrivers со сс...

Президент АвтоВАЗа лично проконтролировал производство 122-сильного мотора 1.8 EVO для автомобилей Lada Президент АвтоВАЗ Максим Соколов и исполнительный вице-президент по производству Михаил Рябов посетили производство новых двигателей. В ходе визита профильные руководители и специалисты продемонстрировали сборку и рассказали о перспективах развития двигателей 1.8 EVO, к...

Dimensity 9300, LPDDR5T, топовая камера Zeiss и рекордная производительность. Предзаказы на Vivo X100 уже идут, а производитель подтвердил ключевые характеристики Компания Vivo официально объявила, что серия Vivo X100 первой в мире получит чип обработки изображений собственной разработки V3 и флагманскую однокристальную систему Dimensity 9300. В MediaTek Dimensity 9300 используются высокопроизводительные ядра: 4 Cortex-X4 и 4 Cor...

LG ускорит матрицу IPS Black до 360 Гц уже в 2024 году Ресурс TFTCentral опубликовал дорожную карту по выпуску на рынок новых панелей LG Display. Одной из заметных новинок станет 27-дюймовая матрица с разрешением 2560 х 1440 пикселей и кадровой частотой 360 Гц – производство таких панелей стартует в последнем квартале...

АвтоВАЗ выпустит четыре новые модели Lada АвтоВАЗ планирует выпустить четыре новые модели в ближайшие два года, что подтвердил президент компании Максим Соколов. За два года на конвейере завода появятся следующие автомобили: бизнес-седан Lada Aura, массовый легковой автомобиль Lada Iskra, электромобиль e-Largus...

Сначала убрали Exynos, а скоро избавятся и от ISOCELL? Samsung не будет использовать свой сенсор ISOCELL GN3 в Galaxy S25 – вместо него будет датчик Sony В линейке Galaxy S23 Samsung отказалась от собственной однокристальной системы Exynos, а в серии Galaxy S25 компания может отказаться от собственного 50-мегапиксельного датчика изображения ISOCELL GN3. По данным инсайдера, известного в Twitter под ником R...

Samsung готовит необычную раскладушку для олдов на базе Galaxy Z Fold 5 Если как следует изучить линейку смартфонов Samsung, то становится понятно, что ей тесно самой в себе. Бесчисленное количество линеек, новые модели, а теперь еще и возвращение серии FE — все это современная Samsung. Еще в начале августа технологический мир был потрясен выпу...

Это видеокарта китайской разработки уровня GTX 1050 и с поддержкой AMD FSR. Анонсирована 30-ваттная Gitstar JH920 Китайская компания Gitstar анонсировала свою собственную видеокарту JH920, которая, по обещаниям производителя, должна быть быстрее, чем GeForce GTX 1050.  Да, это не бог весть какой уровень, но всё-таки речь о полностью китайской разработке. К тому же TDP тут сос...

Sapphire выпускает не только видеокарты. Компания представила системную плату B650I Nitro+ Mini-ITX. Компания Sapphire известна в первую очередь своими видеокартами, а многим только ими. Это самый крупный партнёр AMD на этом рынке. Но на самом деле Sapphire производит и другую продукцию, и её новая новинка — системная плата B650I Nitro+ Mini-ITX.  Она предн...

В ОЭЗ "Алабуга" появится производство ветроэнергетических установок В пресс-службе особой экономической зоны "Алабуга", рассматривается вопрос о размещении производства ветроэнергетических установок АО "Силовые машины" в этой территории. Организация намерена производить установки для использования ветровой энергии на данной территории, пишет...

Еще до анонса Redmi K80 нас ждёт Redmi K70 Ultra — новинка ожидается уже в июне Redmi вовсю готовится к анонсу серии Redmi K80, при этом компания еще не выпустила устройство серии K70 под названием Redmi K70 Ultra. Теперь же новинка обнаружена в базе данных IMEI GSMA. Redmi K70 Ultra проходит под модельным номером 2407FRK8EC. Буква «C» ...

США могут добавить в «чёрный список» поставщиков Huawei США рассматривает возможность внесения в «чёрный список» ряда китайских полупроводниковых компаний, связанных с Huawei Technologies, после того, как в прошлом году телекоммуникационный гигант совершил значительный технологический прорыв, о чем пишет Bloomber...

Лукашенко отменил НДС при ввозе не имеющего аналогов в стране оборудования Президент Белоруссии Александр Лукашенко подписал указ об отмене налога на добавленную стоимость (НДС) при ввозе уникального оборудования, которое не имеет аналогов в стране. Целью указала является поддержка и стимулирование создания новых производственных линий. Информ...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Новый MacBook Pro выйдет в 2025 году В октябре 2023 года компания Apple официально представила свою новую линейку ноутбуков MacBook Pro, включая модели на базе процессоров M3, M3 Pro и M3 Max. Тогда аналитики отметили, что производитель решил ускориться с релизом новых ноутбуков, чтобы иметь улучшенные показате...

Японская компания Pale Blue получила финансирование в размере $7,5 млн на массовое производство тяговых систем для малых спутников Японская компания Pale Blue привлекла $7,5 миллионов на создание массового производства систем тяги на основе водяного пара для малых спутников. Финансирование состоит из кредитов и недавно завершённого раунда B, поддержанного существующими инвесторами компании — ...

Microsoft не понравилась идея Nvidia относительно продажи готовых серверов с GPU Blackwell. Между компаниями на этом фоне возникло напряжение Компания Microsoft является одним из крупнейших клиентов Nvidia, когда речь касается ускорителей для ИИ. Но на этом фоне между компаниями, как сообщается, возникло некое напряжение.  фото: Nvidia The Information сообщает, что между Microsoft и Nvidia возникло прот...

Apple ухудшит iPhone 16 Plus в сравнении с предшественником, чтобы не мешать iPhone 16 Pro Max? Появились данные о ёмкости аккумуляторов грядущих смартфонов Ресурс MacRumors поделился свежими данными о ёмкости аккумуляторов смартфонов линейки iPhone 16.  Согласно этим данным, не у всех новых моделей ёмкость увеличится. Более того, снижение, а оно якобы будет иметь место для iPhone 16 Plus, будет весьма заметным. ...

На китайском авторынке появятся сотни «заводов-зомби», считает Financial Times Стремительный переход на электромобили в Китае привел к серьезным проблемам для производителей традиционных автомобилей с двигателями внутреннего сгорания (ДВС). Как сообщает Financial Times, на китайском рынке в ближайшее время могут появиться сотни так называемых &laq...

Lada Vesta с новым мотором и АКПП появится в продаже до конца марта 2024 АвтоВАЗ не подтверждает, что серийное производство Lada Vesta с автоматизированной трансмиссией начнется в январе 2024 года. Ранее об этом написал главный редактор издания «За рулем» Максим Кадаков. Российский завод обещает лишь начать продажи Vesta NG с нов...

Китай хочет заполучить 300 эксафлопс вычислительной мощности уже к 2025 году Китай хочет уже к 2025 году повысить свою вычислительную мощность на 50%. В данном случае речь идёт о суммарных вычислительных мощностях всех суперкомпьютеров в стране.  Если у Китая это удастся, речь будет идти примерно о 300 эксафлопс. На текущий момент Китай ра...

Инсайдеры поделились информацией про Realme GT7 Pro Пока что грядущий смартфон Realme GT6 Pro ещё не был официально представлен, хотя, если верить информации западных инсайдеров, его ожидают в ближайшие месяцы. А уже сегодня на просторах интернета появилась утечка информации о его преемнике под названием GT7 Pro. По словам из...

Alfa Romeo, Chrysler, Citroen, Dodge, Fiat, Jeep, Maserati, Opel, Peugeot, Ram и другие компании могут прекратить производство в Великобритании Мария Грация Давино, топ-менеджер Stellantis в Великобритании, заявила, что если британское правительство не сможет принять дополнительные меры для стимулирования спроса на электромобили и помощи компании, производство Stellantis, скорее всего, будет прекращено в стране...

Samsung представила передовую память LPDDR5X Несколько лет назад компания Samsung представила память LPDDR5X со скоростью передачи данных в 8,5 Гбит/сек, которая на тот момент была самой быстрой в мире. Но технологический прогресс не стоит на месте, и сегодня компания представила ещё более быструю LPDDR5X. Данная памят...

Сделано в Казахстане. Автомобили Skoda теперь будут собирать рядом с Россией Казахстанская торгово-промышленная группа Allur займется производством автомобилей Skoda, причем контракт с Volkswagen Group уже подписан. До конца года с конвейера сойдут первые Skoda казахстанской сборки. Но пока что не сообщается, где именно они будут собираться и о ...

Одна из крупнейших инвестиций в истории Honda: компания потратит 14 млрд долларов на новый завод в Канаде Honda Motor собирается инвестировать почти 14 млрд долларов в строительство завода по производству электромобилей в Канаде, о чем сообщает Nikkei. Этот завод может включать собственное производство аккумуляторных батарей для машин. По словам источников, этот проект...

NVIDIA готовит GeForce RTX 3050 на 6 ГБ Сегодня появилась информация о том, что видеокарта NVIDIA GeForce RTX 3050 на 6 ГБ будет запущена в начале 2024 года с урезанными характеристиками по сравнению с моделью на 8 ГБ. Но компании-партнёры старую версию на 8 ГБ, которая мощнее, больше выпускать не будут, так что н...

Стартовало производство ноутбука Aquarius Cmp NE355, совместимого с российскими ОС Российский разработчик компьютерного оборудования «Аквариус» представил ноутбук Aquarius Cmp NE355. Его особенностью является совместимость с основными отечественными операционными системами: Astra Linux, «Альт», «Ред ОС» и «Рос...

Китайцы разлюбили Toyota? FAW Toyota существенно сокращает производство в Китае на фоне снижения спроса и затоваривания складов Автомобильный рынок Китая – высококонкурентная среда, в которой иностранным брендам приходится несладко. Так, Mitsubishi уже обанкротилась и ушла из Китая, Skoda может оказаться следующей, так как продажи компании падают в Поднебесной уже не первый год. А теперь к...

Не только маленький Land Cruiser, но еще и совершенно новый пикап. Toyota может выпустить нетипичный пикап для конкуренции с Ford Maverick и Hyundai Santa Cruz По данным Automotive News, Toyota работает над совершенно новым легковым пикапом — у этой машины не будет рамы. Такой автомобиль станет конкурировать с Ford Maverick, Hyundai Santa Cruz и будущим пикапом на базе Renault Duster третьего поколения. В качестве основы...

Apple выпустит новые Mac Pro и Mac Studio в 2025 году Обновлённые модели ноутбуков MacBook Pro, которые, по информации западных журналистов, появятся в продаже в четвёртом квартале 2024 года, скорее всего, станут первыми Mac, оснащёнными процессорами нового поколения M4. Как и в прошлом году, технологический гигант планирует вы...

Steam-игру Roboquest перенесут на мобильные устройства В китайском маркете 3839 появилась страница с предрегистрацией на мобильную версию Roboquest. Напомню, что данная игра вышла на ПК и консоли в конце 2023 года. Теперь же китайские маркеты заявляют, что стоит ждать мобильную версию. По крайней мере речь идёт об Android-версии...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

OnePlus Ace 3V получит процессор Snapdragon 7+ Gen 3 На протяжении достаточно длительного времени в сети появляется информация о смартфоне OnePlus Ace 3V, который должны официально представить в самое ближайшее время, но верить этим слухам или нет — выбор каждого. Ведь некоторые данные, которые сообщают инсайдеры, выглядят чре...

В Китае запущено опытное производство новейшего Toyota Land Cruiser 250. Редкие фото с конвейера О запуске производства новейшего Toyota Land Cruiser 250 в Китае сообщалось неделю назад, тогда на фото показали первый сваренный кузов. За неделю процесс заметно продвинулся: судя по фото, опубликованным китайским ресурсом Autohome, запущено опытное производство. На ф...

“Made in China”: легким движением руки процессоры Intel Xeon превращаются в китайские чипы Китайские бренды не раз были замечены за копированием западных и не только разработок, будь то дизайн одежды или технологии. И речь не только о дешевых копиях вещей с Aliexpress, с которыми каждый из нас сталкивался, в отрасли производства и разработки электроники такое тож...

Президент Xiaomi лично поможет собирать Xiaomi 14 Смартфоны линейки Xiaomi 14 набирают большую популярность ещё до официального анонса, что подтверждают данные по предварительным заказом и активное обсуждение новых смартфонов в Weibo. Лу Вейбинг, президент Xiaomi Group и генеральный менеджер бренда Redmi, оставил на св...

Только в 2025 году в iPhone появится то, что уже есть в Samsung Galaxy S24 — новое антибликовое стекло повышенной прочности В следующем году iPhone 17 будет оснащен антибликовым дисплеем, который более устойчив к царапинам, чем Apple Ceramic Shield, установленный на моделях iPhone 15. Китайский инсайдер Instant Digital заявил, что защитное стекло iPhone 17 получит «сверхтвердый антибли...

Китайцы снова эксклюзивно получат новый процессор Intel. Это будет 10-ядерный Core i5-14490F Компания Intel снова выпустит для китайского рынка уникальный процессор. Возможно, и не один, но пока появилась информация только о модели Core i5-14490F.  Core i5-14490F — это преемник Core i5-13490F, который также выпускался только для Китая, а до этого та...

Ускорители Nvidia H100 больше не нужно ждать целый год, но компания столкнулась с падением спроса Спрос на ускорители для ИИ Nvidia H100 такой огромный, что в какой-то момент время ожидания поставок для ряда клиентов выросло до года. Теперь же Nvidia удалось решить проблему почти полностью, радикально снизив ожидания.  Как сообщается, компания смогла снизить в...

Всем санкциям вопреки: Huawei впервые представит настольный компьютер на базе собственного процессора В мае 2024 года Huawei откроет новую для себя нишу — компания представит первый настольный компьютер на базе собственного процессора. Новинка под названием Qingyun W515x будет ориентирована не столько на розничный рынок, сколько на корпоративных пользователей. Qi...

Дилеры начали спекулировать ценами, за древней машиной выстроилась очередь. Производство Peugeot Pars (Peugeot 405) решили продолжить еще на три месяца Иранская автомобильная компания Khodro Bank объявила о продлении производства культового седана Peugeot Pars. Этот автомобиль представляет собой рестайлинговую версию легендарного Peugeot 405, выпускавшегося в конце 1980-х годов. Решение о возобновлении сборки Pars было...

На этот раз обойдётся без очередного подорожания чипов? Nvidia и TSMC говорят, что вчерашнее землетрясение на Тайване не повлияло на производство Вчера на Тайване произошло сильнейшее для острова за 25 лет землетрясение с магнитудой 7,2-7,4 балла. Кроме прочего, остановила свою работу одна из фабрик TSMC, что, как предполагалось, могло бы сильно повлиять на цену различных чипов. Однако, похоже, в этот раз обойдёт...

Компания Space Forge получила финансирование в размере ?7,9 млн для строительства Национального центра исследований микрогравитации Space Forge получила финансирование в размере £7,9 млн от космического агентства Великобритании для строительства Национального центра исследований микрогравитации. Компания Space Forge получила почти 8 миллионов фунтов стерлингов от Фонда инфраструктуры космичес...

Realme GT Neo6 SE протестировали в бенчмарке В базе данных популярного бенчмарка Geekbench появились результаты тестирования смартфона Realme GT Neo6 SE, официальный релиз которого состоится уже в этом месяце. Бенчмарк подтвердил наличие 4-нанометрового 8-ядерного процессора Qualcomm Snapdragon 7+ Gen 3 с тактовой част...

Microsoft анонсирует новый собственный AI-чип Athena уже в следующем месяце Первое поколение проекта Athena может быть произведено с использованием 5-нанометрового процесса TSMC.

Oppo готовит к релизу новый смартфон F27 Pro+ Сегодня появилась официальная информация о том, что компания Oppo собирается официально представить смартфоны F27 Pro и F27 Pro+ уже 13 июня, что для многих пользователей стало большим удивлением. С другой стороны, стоит напомнить, что модель F27 Pro+ недавно появилась в баз...

Китай объявил о разработке нового метода массового производства оптических чипов Это может радикально изменить глобальный рынок полупроводников и укрепить технологическое лидерство страны.

Китайские хакеры похитили проекты микросхем у крупнейшей голландской полупроводниковой компании преступники скрывались более двух лет, чтобы похитить интеллектуальную собственность в области производства микросхем

Это успех — фанаты раскупили Apple Vision Pro, оформлено до 180 000 предзаказов, сроки ожидания выросли до 7 недель Предварительные заказы на Apple Vision Pro начались в прошлую пятницу, 19 января. Продажи начнутся 2 февраля, а пока что аналитик Минг-Чи Куо сообщил, что гарнитура смешанной реальности пользуется огромным успехом и раскрыл приблизительные объемы продаж. Куо оценивает т...

«Москвич» уже воскресили, на очереди — «Запорожец»? Машины перезапущенного завода «Коммунар» будут востребованы в России, считают в Совфеде После исхода из России европейских брендов умами чиновников и парламентариев завладела идея воскрешения старых советских автобрендов. Лучше всего получилось с «Москвичом» — эти машины уже выпускают. В Минпромторге ранее пообещали новую «Волгу&raq...

Что на замену: Apple тестирует прототип iPhone 16 Pro без «динамического острова» Компания Apple выпустила новейшую серию смартфонов iPhone 15 менее двух месяцев назад, но уже работает над наследниками. Свежими подробностями о грядущем флагмане iPhone 16 поделился авторитетный сетевой информатор Маджин Бу (Majin Bu).  По данным источника, Apple...

Huawei триумфально возвращается. Стартовая партия Mate 60 включает более 10 млн смартфонов, ожидаются рекордные продажи за последние годы Huawei планирует отгрузить не менее 10 миллионов устройств серии Mate 60, о чем сообщает Huawei Central со ссылкой на инсайдерскую информацию. Издание подтверждает, что компания пытается удовлетворить большой спрос со стороны потребителей. По имеющимся данным, компания ...

Samsung и SK Hynix приостановили продажи подержанного оборудования из-за возможных санкций США Производитель микросхем Samsung Electronics и южнокорейская SK Hynix прекратили продавать бывшее в употреблении оборудование для производства микросхем, опасаясь нарушения экспортного контроля США в отношении Китая и западных санкций в отношении России, о чем сообщила г...

BYD входит в топ-3 на автомобильном рынке Узбекистана Китайский автопроизводитель BYD продолжает успешно осваивать рынок Узбекистана, войдя в тройку лидеров по объемам производства и продаж за первые пять месяцев 2024 года. Согласно данным Autostrada uz, завод BYD Uzbekistan Factory выпустил 5654 автомобиля, включая импорт...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Искусственный интеллект будет в смартфонах на уровне железа. Спасибо Google и Qualcomm Искусственный интеллект пока не изменил нашу жизнь настолько, чтобы она больше не была такой, как прежде. Но некоторые изменения уже есть, и если правильно пользоваться ими, то все становится намного проще. Было лишь вопросом времени, когда искусственный интеллект появится ...

Подушки безопасности, электронные блоки управления, рулевое колесо и ремни безопасности. В России откроют новое производство Российская группа «Соллерс» готовится к самостоятельному производству компонентов для системы пассивной безопасности автомобилей, как сообщает РБК, ссылаясь на источник в компании. По данным собеседника издания, проект будет реализован в сотрудничестве с кит...

«Автотор» готовится начать производство российских электромобилей: что это за машины? Глава холдинга «Автотор» Валерий Горбунов в интервью РИА Новости подтвердил готовность начать производство электромобилей в следующем году. Работа над созданием собственного электромобиля идет в графике. Он создается на базе технологически независимой платфо...

ARM-процессоры заинтересовали большее число производителей: у RISC-V проблемы Благодаря своей энергоэффективности и производительности архитектура ARM становится все более популярной в мире разработки микросхем такими крупными технологическими компаниями, как Apple. Так, и AMD, и Nvidia, признали преимущества архитектуры и осваивают производство проце...

Российская компания ICL открыла в Татарстане завод по монтажу материнских плат Группа компаний ICL открыла завод по поверхностному монтажу электронных печатных плат и производству вычислительной техники на территории особой экономической зоны «Иннополис» в Лаишевском районе Татарстана. Сейчас мощности производства составляют 300 тыс. м...

Nubia Z60 Ultra получит огромный кремний-углеродный аккумулятор Смартфон Nubia Z60 Ultra сможет похвастаться не только необычным дизайном, хорошим набором камер, экраном без вырезов и огромным аккумулятором. Компания сообщила, что этот самый аккумулятор будет иметь кремний-углеродный анод.  Такое решение позволяет ощутимо повы...

Хак-группа Chimera более 2,5 лет сохраняла доступ к сети полупроводниковой компании NXP Связанная с Китаем кибершпионская хак-группа Chimera (она же G0114) более двух лет похищала данные из корпоративной сеть компании NXP, производящей полупроводниковые компоненты, которые используются в смартфонах, смарт-картах и электромобилях.

16 моделей — не предел. «Автотор» расширяет линейку и собирается наладить производство автокомпонентов «Автотор» собирается выпускать больше моделей автомобилей и наладить собственное производство автокомпонентов, о чем заявил временно исполняющий обязанности губернатора Калининградской области Алексей Беспрозванных. «Понятно, что за год невозможно пере...

Infinix Note 40 Pro+ 5G получит поддержку беспроводной зарядки В сети появились подробности о смартфоне Infinix Note 40 Pro+ 5G, который еще не был представлен официально. Утверждается, что аппарат оснастят поддержкой быстрой проводной зарядки мощностью 100 Вт и беспроводной зарядки неизвестной мощности, изогнутым экраном и модулем NFC....

Историческое событие на АвтоВАЗе: начался выпуск Lada Vesta SW с новым 163-сильным двигателем О выпуске первых автомобилей Lada Vesta SW с новым турбированным двигателем объемом 1,4 литра мощностью 163 л.с. пишет инсайдерский паблик Avtograd News в соцсети «ВКонтакте». Сегодня 1 апреля произошло историческое событие в жизни ВАЗА. С конвейера сошло 2 ...

Huawei получит передовые ИИ-процессоры, несмотря на санкции со стороны США Китайская компания SMIC, занимающаяся производством полупроводниковой продукции, изготовит для Huawei, а также для других местных брендов, новые ИИ-процессоры Ascend 920.

Apple выпустит новый MacBook Pro на М4 уже в конце 2024 года Совсем недавно компания Apple представила новый процессор M4 в своих планшетах iPad Pro с диагональю 11 и 13 дюймов. И, если верить информации западных инсайдеров, компания не теряет времени и уже готовит новую волну моделей MacBook Pro, которые будут оснащены тем же процесс...

Lada Iskra уже на конвейере, но до серийного производства ещё далеко Сегодня стала известна дата официальной премьеры Lada Iskra, и сегодня же кузов новой модели АвтоВАЗа прогнали по конвейеру по линии, на которой выпускают Lada Granta. Об этом сообщили инсайдеры паблика Avtograd News. «Сегодня был тестовый прогон кузова автомобил...

Samsung Galaxy Watch получат дисплей microLED в 2025 году Компания Samsung продолжает делать успехи в области технологии microLED, но на данный момент компания ограничивает использование данных передовых дисплеев своими огромными телевизорами, которые стоят небольшое состояние. Но, по новым слухам, корейский гигант планирует примен...

Huawei выпустила ноутбук с фирменным чипом Kirin 9006C Ноутбук Qingyun L540 - это новейшая разработка Huawei с процессором собственного производства Kirin и с двумя вариантами операционной системы UOS и Galaxy Kirin на базе Linux.

В Новосибирске начали выпуск мини-компьютеров на отечественных процессорах В пресс-службе правительства Новосибирской области сообщили, что в регионе стартовало производство мини-компьютеров и импортозамещающих плат, не имеющих аналогов в России. Разработка направлена на достижение технологической независимости страны.

Samsung и LG получили от Apple разрешение на запуск массового производства экранов для iPhone 16 По данным The Elec, компания Samsung Display будет поставлять OLED-экраны для всех четырёх моделей серии iPhone 16, а LG Display — только для моделей iPhone 16 Pro.

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Китай и 5-нм техпроцесс: компании SMIC, скорее всего, удалось освоить новые технологии производства чипов Китайские компании, которые занимаются разработкой и производством электроники, несколько лет находятся под весьма жёсткими торговыми санкциями США. Ряд организаций потерял возможность заказывать чипы у контрактных поставщиков вроде TSMC, что стало очень сильным негативным ф...

MediaTek готовит к релизу процессор Dimensity 9300 На рынке мобильных процессоров в течение последних десяти лет ключевую роль играет компания Qualcomm, которая поставляет большинство флагманских процессоров для всех крупных производителей смартфонов. Это вполне ожидаемо, так как у компании есть передовые технологические про...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

NVIDIA GeForce RTX 3060 в ближайшем будущем должна подешеветь Некоторое время назад появилась информация о том, что производитель хочет заморозить выпуск видеокарты NVIDIA GeForce RTX 3060, чтобы дать пространство новому поколению видеокарт компании. Однако теперь ситуация в корне изменилась и инсайдеры уверены, что видеокарта в ближай...

Xiaomi выпустит моноблок без вырезов и отверстий, а также новый Xiaomi Mix со сгибающимся экраном Компания Xiaomi тестирует новый флагман на базе однокристальной системы семейства Snapdragon 8, о чем сообщил инсайдер Digital Chat Station. В новом смартфоне используется решение с двумя камерами под экраном, он получит современный дизайн с очень узкой рамкой с четырех...

Samsung Galaxy Z Fold6 Некоторое время назад на просторах интернета уже публиковали несколько результатов бенчмарков нового складного смартфона Samsung Galaxy Z Fold6 с чипом Snapdragon 8 Gen 3 на борту — это было вполне ожидаемо, потому что данная модель нацелена на продажу в США, а там именно эт...

Российский завод Nissan полноценно заработает в 2024 году. Будут выпускать Lada Х-Cross 5 и какой-то седан Глава АвтоВАЗа Максим Соколов на конференции «Национальная технологическая революция 20.35» рассказал, когда начнется по-настоящему массовое производство автомобилей на бывшем российском заводе Nissan.   Изображение: Lada «Мы рассчитываем, что по...

Китайские компании Xiaomi и Unisoc заявили о скором появлении собственных 4-нм процессоров В стремлении снизить зависимость от глобальной полупроводниковой цепи поставок, Xiaomi и Unisoc разрабатывают свои собственные 4-нм мобильные процессоры, соперничая с лидерами рынка, такими как Qualcomm.

Samsung построит в США завод за 44 миллиардов долларов Сегодня появилась новая информация о том, что компания Samsung в ближайшее время получит субсидию в размере 6,6 миллиарда долларов для расширения своего завода по производству чипов в Техасе. Этот шаг необходим производителю для расширения своих производственных мощностей, п...

Samsung готовит к выпуску защищенный смартфон Galaxy XCover 7 Samsung готовит к выпуску новый прочный смартфон Galaxy XCover 7, который недавно был замечен в базе данных одного из сертификационных органов Таиланда.

Lada B-Cross вообще не напоминает Vesta. Появились подробности о новом кроссовере АвтоВАЗа Первый исполнительный вице-президент по стратегии и техническому развитию АвтоВАЗа Евгений Шмелёв рассказал о новых моделях компании, в частности, упомянув кроссовер на базе Vesta. Он заявил, что АвтоВАЗ ориентирован на разработку и производство автомобилей на платформе...

В российских автомобилях может появиться «жидкая кожа» Как сообщает «Автопоток» со ссылкой на Минпромэнерго Саратовской области, НПП «Полипластик» из города Энгельс запустило промышленное производство «жидкой кожи» на основе термоэластопласта. Компаунд используется дли изготовления тонкос...

HUAWEI лишили процессоров Snapdragon, которые стояли почти во всех смартфонах. Что теперь с ними будет? 7 мая 2024 года министерство торговли США наложило новые санкции на HUAWEI. Об этом сообщило издание Bloomberg со ссылкой на анонимные источники, а также на председателя Комитета Палаты представителей по иностранным делам республиканца Майкла Маккола. Отныне американским In...

Jon Peddie: Цены на видеокарты за год упали вдвое Глобальный дефицит чипов ослабевает, на рынке криптовалют — существенный спад, потребители откладывают покупки до выхода новых графических процессоров. Согласно данным о состоянии рынка, собранным Jon Peddie Research и проанализированным немецким сайтом 3DCenter, в первом...

NVIDIA завоевала 90% рынка видеокарт для ИИ Компания NVIDIA без всякого сомнения занимает ведущие позиции в сегменте аппаратного обеспечения для технологий на базе искусственного интеллекта и аналитики предполагают, что компания заработает 46 миллиардов долларов только от сегмента центров обработки данных. Если верить...

Axion — что нам известно о новом процессоре от Google? Создавать собственные процессоры в последнее время стало модным. Компания Apple со своими чипами серии «М» уже уверенно отвоевала заметный рыночный сегмент, Amazon активно использует в составе платформы AWS собственный процессор Graviton, а Microsoft недавно анонсировала Mi...

NVIDIA Blackwell будет продаваться за 40 тысяч долларов Совсем недавно компания NVIDIA представила свои новые графические ускорители поколения Blackwell на выставке GTC 2024, которые обойдутся потенциальным покупателям довольно дорого, поскольку производитель, по оценкам, вложила в проект несколько миллиардов долларов. Дебют ново...

Официально: Lada Granta может получить новую версию АвтоВАЗ рассматривает возможность расширения линейки автомобилей Lada Granta за счет добавления бюджетных вариантов без подушек безопасности. Об этом сообщили представители компании в пятницу, подчеркнув, что эти автомобили полностью соответствуют действующим в России н...

Складной смартфон Xiaomi MIX Flip засветился в сети Авторитетный китайский инсайдер Digital Chat Station сообщает, что компания Xiaomi готовит к скорому выходу компактный складной смартфон. Устройству приписывают тонкий и легкий корпус, топовую 4-нанометровую платформу Qualcomm Snapdragon 8 Gen 3 и поддержку спутниковой связи...

Новый смартфон Huawei будет иметь улучшенную модель прошлогоднего процессора Ранее в США надеялись, что Китай не в состоянии производить данные чипы самостоятельно и лишь использует старые запасы

Intel идёт по пути Apple. Компания показала процессор Lunar Lake с собственной оперативной памятью на подложке Компания Intel на CES 2024 не только представила остатки линейки процессоров Raptor Lake Refresh, но и показала совершенно новый CPU линейки Lunar Lake.  Эти процессоры появятся в текущем году, вероятно, в самом его конце, и в целом придут на смену Meteor Lake. Но...

Intel Core i9-13950HX и Nvidia RTX 4070 Laptop. Игровой ноутбук Red Magic засветился в Geekbench Новый игровой ноутбук Red Magic (модельный номер GN001J) появился в базе данных китайского регулятора и прошел тестирование в популярном бенчмарке Geekbench. Согласно данным Geekbench, ноутбук будет оснащен процессором Intel Core i9-13950HX и видеокартой Nvidia RTX 4070...

Всё о VoxelDance Additive - на вебинаре от производителя! VoxelDance - инновационная компания по разработке программного обеспечения для 3D-печати, специализирующаяся на промышленных программных решениях и услугах для аддитивного производства. На текущий момент бренд предлагает два продукта: VoxelDance Additive и VoxelDance Tango.V...

Совершенно новых процессоров AMD Ryzen осталось ждать буквально два-три месяца. ПК на основе Strix Point появятся уже в августе Первые процессоры AMD Ryzen нового поколения могут появиться уже через два-три месяца.  Компания Aoostar, отвечая на вопросы пользователей, сообщила, что ПК на основе новых Ryzen появятся уже в августе. Если точнее, такие устройства в августе предложат бренды перв...

Официально: Redmi K70 получат SoC Snapdragon 8 Gen 3 Xiaomi выпустит первые смартфоны на Snapdragon 8 Gen 3 – Xiaomi 14 и Xiaomi 14 Pro дебютируют уже завтра, но вслед выйдут более доступные флагманы компании на базе той же однокристальной системы. Об этом уже объявлено официально. Речь о моделях линейки Redmi K70....

Работа с данными в банках: как не зайти в тупик в процессе импортозамещения? Об особенностях работы с данными в финсекторе после ухода западных вендоров и рисках использования legacy и собственной разработки рассказывает Юлия Ильина, руководитель направления Arenadata по работе с компаниями финансового сектора.

Nvidia не против заполучить третьего партнёра для производства своих GPU, и это намёк на Intel Компания Nvidia снова заговорила о возможности производить свои GPU на мощностях Intel. Точнее, напрямую об Intel сейчас никто не заявлял, но подразумевается именно эта компании. Сейчас современные графические процессоры компании производит только TSMC, хотя ранее этим...

У смартфонов Huawei пропадёт поддержка приложений Android из-за новой HarmonyOS Компания Huawei объявила, что следующая версия фирменной ОС HarmonyOS уже готова к запуску. При этом HarmonyOS Next представляет собой кардинальное изменение по сравнению с прошлыми версиями.  Дело в том, что HarmonyOS Next представляет собой полностью собственную...

OnePlus представила передовую батарею Glacier Battery Некоторое время назад инсайдер из Китая сообщил о скором анонсе новой технологии производства аккумуляторных батарей, которые якобы должны использоваться в будущем OnePlus Ace 3 Pro. А сегодня компания официально подтвердила эту новость, сообщив, что OnePlus тесно сотруднича...

Китайские учёные разработали сверхдешёвый материал для выпуска чипов, снизив зависимость от США Речь идёт о новом полупроводниковом материале танталате лития

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

В России налажено массовое производство авиационных бомб ФАБ-3000 Министерство обороны РФ сообщило об увеличении выпуска бомб "малого" калибра, а также о начале производства трёхтонных авиационных бомб

Xiaomi планирует производить собственные процессоры для смартфонов Китайский технологический гигант планирует разрабатывать собственные чипы в партнерстве с ARM

В Узбекистане хотят собирать по 500 000 машин BYD к 2027 году. Локализацию планируют довести до 60% BYD планирует выпускать на заводе BYD Uzbekistan Factory до 500 тыс. электромобилей и гибридных автомобилей к 2027 году. Об этом сообщил заместитель директора BYD Uzbekistan Factory Диёр Мухамедов. Ранее стало известно, что BYD создаст на территории Узбекистана предприя...

Сразу после Xiaomi 14: новый флагман Meizu на Snapdragon 8 Gen3 можно будет заказать уже 27 октября Компания Meizu сразу же после презентации однокристальной системы Snapdragon 8 Gen3 опубликовала тизер устройства, которое станет доступен для предзаказа 27 октября. Предположительно, новый смартфон должен называться Meizu 21. Согласно опубликованной информации в базе д...

Из базы данных Epic Games Store утекли данные о предстоящих играх В результате утечки данных из базы Epic Games Store стало известно о множестве секретных игровых проектах, которые находятся в разработке у различных крупных издателей. Среди них были обнаружены как новые части популярных франшиз, так и совершенно неизвестные ранее наименова...

В Ижевске откроют производство оснастки для изготовления корпусных автомобилей LADA Largus Речь идёт о производстве крупных штампов.

HONOR обновит некоторые смартфоны до Android 14. Смотрим список Когда речь заходит об обновлениях, сегодня многие сразу вспоминают Samsung, так как компания для большой части своих аппаратов уже раздала стабильную версию One UI 6.0 в связке с Android 14. Не без некоторых нюансов, но процесс идёт уже полным ходом, в то время как другие ...

В Китае спрогнозировано закрытие автомобильных заводов из-за бума электрокаров Истерика вокруг «зелёной» энергетики и бум спроса на электромобили породил цепную реакцию, в силу которой в Китае в скором времени могут появиться сотни «заводов-зомби». Это связано с тем, что строившиеся предприятия по производству автомобилей с ДВС обесцениваются и за этим...

В iPhone 16 появится дополнительная емкостная кнопка Capture, экран увеличится до 6,3 дюйма, а камеры расположат вертикально, как у iPhone 12 Ожидается, что серия iPhone 16 получит дополнительную емкостную кнопку Capture, которая проходит под кодовым названием Project Nova. Она станет одним из главных новшеств линейки iPhone 16, если она успешно пройдет этап тестирования. Инсайдерскую информацию предоставило ...

«Авито» и «Автодор» договорились: отчёты онлайн-проверки автомобилей через «Автотеку» станут подробнее Команда популярного сервиса частных объявлений «Авито» сообщила о скором запуске новых возможностей в сервисе «Автотека». В рамках ПМЭФ-2024 Авито и государственная компания «Автодор» подписали протокол о намерениях по взаимодействию....

Apple уже готовит MacBook Pro нового поколения с чипом M4 В прошлом году Apple представила новое поколение MacBook Pro с чипом M3, который на днях появился в новом MacBook Air. При этом компания уже работает над новым MacBook Pro, оснащенным еще не анонсированным чипом M4. Эту новость сообщил Марк Гурман из Bloomberg, который ...

Смартфон Xiaomi 15 проходит внутреннее тестирование с Snapdragon 8 Gen 4 Также стоит ожидать, что предстоящие флагманы могут выйти на стадию массового производства уже в сентябре.

Canon совершила революцию в мире полупроводников – что не так с технологией NIL-литографии Canon представила новое оборудование для производства полупроводников по 5-нм нормам

В 2028 году появятся самозаживляющиеся экраны Сегодня зарубежные СМИ рассказали о достаточно интересной технологии самозаживляющегося дисплея, которая по прогнозам специалистов будет доступна для массового производства уже в 2028 году. Суть данной технологии в том, что плёнка, которая накладывается поверх дисплея, созда...

Смартфон Tonino Lamborghini TL96 получит 100-Мп камеру В базе данных китайского регулятора TENAA появились фотографии и характеристики смартфона Tonino Lamborghini TL96, который еще не был представлен официально. За его производство отвечает компания Tonino Lamborghini, основанная сыном создателя автомобильного бренда Lamborghin...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)