Социальные сети Рунета
Вторник, 2 июля 2024

Intel нахваливает свой новый техпроцесс Intel 3, но процессоры Lunar Lake отдала на производство TSMC Вчера мы узнали, что TSMC приступила к массовому производству процессоров Intel Lunar Lake, основная плитка которых производится по техпроцессу 3 нм. При этом и сама Intel начала выпуск по нормам Intel 3, которые, как минимум согласно своему названию, должны быть сходны...

NexTouch начала массовое производство системных плат под российские процессоры Компания «Некс-Т», которая является резидентом «Технополиса Москва» и многим известна под торговой маркой NexTouch, приступила к массовому производству системных плат под российские «Элвисы» и «Байкалы».

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

LG начала массовое производство гибких OLED-дисплеев для 17-дюймовых ноутбуков будущего LG Display объявила о старте массового производства 17-дюймовых гибких OLED-панелей для ноутбуков.

Lada Niva с ABS уже сходят с конвейера АвтоВАЗа Судя по всему, АвтоВАЗ скоро запустит массовое производство внедорожников Niva Legend и Niva Travel с ABS. Как пишет инсайдерский паблик Avtograd News, такие машины в единичных экземплярах уже собирают. «С конвейера АвтоВАЗа сошло несколько пробных автомобил...

Intel хвалит свои техпроцессы, но новые CPU Lunar Lake будет полностью производить на мощностях TSMC Компания Intel раскрыла некоторые подробности о малых ядрах процессоров Lunar Lake.  Lunar Lake ожидаются ближе к концу года. Эти процессоры с самого начала создавались с упором на максимальную энергоэффективность, поэтому малым ядрам тут уделено много внимания.&n...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Xiaomi начала массовое производство своего народного флагмана Redmi K70 Xiaomi объявила о старте массового производства своего новейшего флагмана — Redmi K70.

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

NVIDIA начнет массовое производство процессора H20 AI GPU для Китая NVIDIA ведет активную подготовку к запуску массового производства своего графического процессора H20 AI GPU, предназначенного для Китая и ожидаемого во втором квартале 2024 года, пишет wccftech.com.

MacBook Pro на М4 выйдет в первом квартале 2025 года Новый MacBook Pro на базе процессора M4 от компании Apple, если верить западным инсайдерам, уже находится в стадии активной разработки, но это вовсе не значит, что новая система на кристалле появится в ближайшее время — специалисты уверены, что с учётом сроков на производств...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Samsung начинает массовое производство флэш-памяти V-NAND 9-го поколения Первые кристаллы имеют ёмкость 1 терабит.

Первые процессоры Intel Core, которые сама Intel производить не может. TSMC приступила к массовому производству Lunar Lake Ресурс DigiTimes сообщает, что TSMC приступила к массовому производству процессоров Intel Lunar Lake.  Судя по всему, ноутбуки на основе этих CPU появятся в конце третьего квартала, а CPU Arrow Lake выйдут на рынок в четвертом квартале.  Напомним, Lunar Lake,...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Samsung начнет производить новейший 3-нм процессор для Galaxy S25 уже в ближайшие месяцы Компания Samsung объявила о скором начале массового производства нового процессора, выполненного по передовой 3-нм технологии.

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

«Росэлектроника» начала выпуск СВЧ-модулей для радаров Фото: «Росэлектроника» «Росэлектроника», интегрированная в обширную структуру «Ростеха», завершила разработку и приступила к массовому производству семейства отечественных СВЧ-модулей, которые входят в состав радиоэлектронной аппаратуры с наземным базированием. При этом мод...

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

В России налажено массовое производство авиационных бомб ФАБ-3000 Министерство обороны РФ сообщило об увеличении выпуска бомб "малого" калибра, а также о начале производства трёхтонных авиационных бомб

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

В США запустят массовое производство человекоподобных роботов «общего назначения» В США робототехническая компания Agility Robotics откроет первый в мире завод для массового производства человекоподобных роботов. Предприятие называется RoboFab.

АвтоВАЗ высказался о возобновлении производства универсалов Lada Vesta SW АвтоВАЗ опроверг информацию о том, что завод приостановил производство универсалов Lada Vesta SW, о чем сообщают «Автоновости дня». Отвечая на вопрос, который автомобильное издание направило на завод, пресс-служба ответила коротко: «Это фейк». Р...

Intel выпустит процессоры Lunar Lake уже в этом году Процессоры Intel поколения Lunar Lake, если верить официальным данным, вышли на стадию массового производства на мощностях TSMC с использованием их технологического процесса N3B, а первые ноутбуки с этими процессорами ожидаются в третьем квартале 2024 года. Специалисты отмеч...

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Украина начала массовое производство беспилотника Backfire K1 собственной разработки 5 апреля 2024 года в социальных сетях было сделано заявление о запуске серийного производства инновационного беспилотного дрона-бомбардировщика Backfire K1, разработанного внутри страны.

MSI использует "бракованные" кристаллы RTX 4090 при производстве 4070 Ti Super К сожалению, раскрыть полный потенциал флагманского кристалла невозможно.

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Ирак начинает массовое лицензионное производство китайского БТР Norinco VN22 6×6 Комиссия оборонной промышленности Ирака (DICI) недавно объявила о начале местного производства бронемашин Norinco VN22 6x6, чтобы укрепить обороноспособность своей страны.

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Intel начала массовое производство технологии 3D-упаковки Foveros Intel объявила о масштабном производстве на основе ведущих в отрасли решений по полупроводниковой упаковке, включая новую технологию 3D-упаковки Foveros. Эта технология была запущена на заводе Fab 9 в штате Нью-Мексико, США, который недавно был обновлен Intel.

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Samsung готовит производство чипов на 1 нм техпроцессе Если верить информации западных инсайдеров, компания Samsung Foundry планирует представить свой передовой технологический процесс в 1 нанометр в следующем месяце с запуском массового производства к 2026 году. Соответственно, пока Intel и TSMC занимались своими собственными т...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Процессоры AMD Zen 5 "Granite Ridge", как сообщается, запущены в массовое производство О процессорах Granite Ridge мы ничего не слышали с ноября прошлого года, а на декабрьском мероприятии "Advancing AI" AMD решила не представлять процессоры нового поколения для настольных ПК

Samsung готовит мобильные процессора на 3 нанометрах Можно с уверенностью сказать, что компания Apple лидирует в области мобильных чипов для смартфонов и ноутбуков, но конкуренты постепенно догоняют гиганта из Купертино. Например, если верить инсайдерам, компания Samsung готовит свои собственные 3-нм чипы для следующих флагман...

Nvidia начала выпуск видеокарт RTX 4070 Ti Super на кристаллах AD102 По мнению экспертов, в производстве будут использоваться несовершенные чипы флагманских адаптеров

В России запустят контрактное производство квантовых процессоров В пресс-службе Министерства науки и высшего образования Российской Федерации сообщили, что МГТУ им. Н. Э. Баумана и ВНИИА им. Н. Л. Духова готовятся к запуску первого в России контрактного производства сверхпроводниковых квантовых процессоров. Это событие станет важным шагом...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Samsung анонсировала новые технологические узлы 2, 4 и 1,4 нм Компания планирует начать массовое производство 4-нм к концу 2024 года и предоставить GAA для будущего 2-нм процесса.

SK Hynix выпустит память GDDR7 в начале 2025 года Судя по информации инсайдеров, компания SK Hynix планирует начать массовое производство своей памяти следующего поколения GDDR7 уже в первом квартале 2025 года, то есть компания немного отстаёт от конкурентов. Первое поколение модулей памяти GDDR7 появилось ещё в этом году, ...

Lada Iskra получит российские сиденья. Их производство уже началось 5 июня состоится официальная премьера Lada Iskra. Но серийное производство стартует лишь в начале 2025 года, а пока АвтоВАЗ и поставщики компонентов ведут планомерную работу по проекту. Она включает в себя и выпуск сидений для новой модели: как сообщает инсайдерский паб...

Высокий процент брака и плохая энергоэффективность. У Samsung большие проблемы с 3-нм техпроцессом Ранее ходили слухи, что Samsung добилась успеха со своими 3-нм техпроцессами, а разработка 2-нм техпроцессов продвигается гладко. Но, по данным Businesskorea, 3-нм техпроцесс Samsung Foundry по-прежнему значительно уступает технологиям TSMC как по проценту бра...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Ростех отправил в войска новую партию танков Т-80БВМ Ранее в корпорации сообщалось, что запланировано начало производства боевых машин семейства Т-80

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Китай приступает к серийному производству 5-нм кристаллов Китай в ближайшее время планирует развернуть серийное производство 5-нм чипов по технологии SAQP

Для производства GeForce RTX 4070 используют не только графический процессор AD104, но и AD103 Для этого потребовалось отключить около 42...43% вычислительных блоков.

Массовое производство Zen 5 процессоров AMD Granite Ridge уже может быть запущено Новую серию ожидают в этом году, она будет конкурировать с процессорами Intel Arrow Lake-S.

TSMC запустила производство 3-нм чипов для компании Intel Процессоры Arrow Lake для настольных ПК и Lunar Lake для мобильных устройств будут использовать 3-нм техпроцесс TSMC.

Начало массового производства H20 и других ускорителей вычислений для Китая ожидают в 2-м квартале NVIDIA разработала новые модели под новые санкции США.

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Процессоры AMD Zen 5 поступят в массовое производство в третьем квартале 2024 года Предполагается, что новые процессоры обеспечать прирост производительности до 10 процентов по сравнению с чипами Ryzen 7000

Samsung строит умные фабрики по производству чипов — собирать микросхемы будет исключительно ИИ Южнокорейский производитель микросхем намерен использовать "умные" технологии и полностью исключить людей из процесса производства кристаллов

Китайцам удалось разработать и выпустить самую емкую в мире флеш-память. В чем прогресс? О компании YMTC мы несколько раз уже писали на Хабре. Например, в этой статье мы показали, как санкции США ударили по производству китайских чипов памяти. Тем не менее, бизнесу в КНР, связанному с производством электроники, удается не только выживать, но и реализовывать вес...

Твердотельные аккумуляторы Toyota появятся в десятках тысяч автомобилей компании к 2030 году Твердотельные аккумуляторы Toyota будут ограничены «десятками тысяч» автомобилей в 2030 году и в последующий период Недавно Toyota объединила усилия с Idemitsu Kosan для разработки и производства твердотельных аккумуляторов для будущих электромобилей, однако...

Легендарное семейство грузовых Mercedes-Benz Kurzhauber после 45 лет на конвейере снимут с производства в Иране Производство легендарного семейства грузовых автомобилей Mercedes-Benz Kurzhauber прекратится в Иране в течение двух месяцев, о чем пишет тегеранское издание Khodro Bank. «Короткокапотные» классические Mercedes-Benz Kurzhauber выпускали в Иране в течение пос...

InnoGrit начала массовое производство контроллера YRS820 PCIe 5.0 на архитектуре RISC-V InnoGrit начала массовое производство контроллера YRS820 PCIe 5.0, который работает на архитектуре RISC-V

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Клиенты не хотят прожорливые чипы Samsung и заказывают у TSMC даже после повышения цен Цена 3-нм чипов производства TSMC выросла на 5%, но несмотря на это, заказов по-прежнему не хватает. Аналитики говорят, что клиенты отдают предпочтение надежности и качеству, а не цене. Ожидалось, что что после повышения цен TSMC компания Samsung переманит некоторых кли...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

И снова Samsung впереди всех. Компания первой приступила к массовому производству флеш-памяти V-NAND девятого поколения. Это микросхемы TLC ёмкостью 1 Тбит Samsung Electronics объявила о том, что первой на рынке запустила производство флеш-памяти V-NAND девятого поколения.  В данном случае речь о памяти TLC ёмкостью в 1 Тбит. Вероятно, это новая 290-слойная память, но в пресс-релизе Samsung об этом не говорит.  ...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Готовится к массовому производству GDDR7 - новый стандарт памяти для графических процессоров Ведущие производители полупроводниковой памяти, включая SK hynix, Micron и Samsung, объявили о планах по массовому выпуску GDDR7.

Китайцы больше не нужны: разработчики процессоров Baikal локализуют важнейший этап производства — корпусировании чипов Разработчик процессоров Baikal компания «Байкал электроникс» расширит эксперимент по корпусированию своих чипов в России. Еще в ноябре 2021 компания начала тестировать этот технологический процесс на мощностях российского холдинга GS Group в Калининградской ...

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

Samsung назвала сроки начала массового производства новых батарей Чхве Юн Хо, президент южнокорейского аккумуляторного гиганта Samsung SDI, объявил на InterBattery 2024, крупнейшей выставке аккумуляторов в Южной Корее, что 46-миллиметровая батарея, разработанная компанией, будет запущена в массовое производство в начале 2025 года. Он ...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Корпус морской пехоты США выбрал General Dynamics и Textron для разработки прототипа ARV-30 Корпус морской пехоты США выбрал General Dynamics Land Systems и Textron Systems Corporation для разработки и производства прототипа разведывательного танка для программы Advanced Reconnaissance Vehicle 30mm Autocannon (ARV-30). Обе компании были выбраны на основе их большог...

Xiaomi добавит в свои машины ДВС для увеличения запаса хода Компания Xiaomi, по сообщению китайских СМИ, работать над системами увеличения запаса хода (EREV) для электрифицированных моделей. Производитель уже набирает инженеров-разработчиков с соответствующим опытом. Согласно описанию должностей, инженеры будут отвечать за разра...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Google будет сотрудничать с TSMC в создании процессора Tensor G5, который будет основой Pixel 10 В результате этого сотрудничества, TSMC будет заниматься массовым производством Tensor G5 для Google.

AMD Zen 5 Granite Ridge: новое поколение Ryzen войдет в массовое производство для AM5 в 2024 году Процессоры AMD следующего поколения Ryzen Zen 5 «Granite Ridge», поступают в массовое производство

Samsung первой в мире начала массовое производство чипов V-NAND 9-го поколения емкостью 1 ТБ Samsung разработала самый маленький в мире размер ячейки.

OpenYard открыла производство российских серверов – 60 000 единиц в год с процессорами Ampere Массовое производство уже развёрнуто, а продукция доступна для заказа

Холдинг «Росэлектроника» готовится к массовому производству операционных усилителей Госкорпорация «Ростех» завершила разработку операционных усилителей, предназначенных для замены зарубежных аналогов в промышленной электронике. Серийное производство планируется начать в 2024 году.

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Tesla начала массовые увольнения своих сотрудников Компания приступила к процессу сокращения производства и рабочих мест на 10%

Новая версия Lada Niva Travel выйдет уже в июне АвтоВАЗ начнет массовое производство внедорожников Lada Niva Travel с обновленной мультимедийной системой Lada EnjoY Pro в конце мая, о чем сообщает инсайдерский паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте». Как уже сообщалось, Lada Niva Tra...

Samsung стремится обойти Intel в гонке за технологию стеклянных подложек Samsung планирует начать массовое производство продукции с использованием технологии стеклянных подложек в 2026 году, опередив Intel, который ориентируется на 2030 год. Новая технология обещает революцию в производстве полупроводников, обеспечивая более компактные, производи...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

TSMC потратит 4 млрд долларов на EUV-машины для 2-нм техпроцесса TSMC готовится к массовому производству по передовому 2-нм технологическому процессу, которое должно начаться в 2025 году. Важнейшим элементом подготовки является закупка оборудования для EUV-литографии. В течение следующих двух лет TSMC получит более 60 EUV-машин на сумму б...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Процессор Zilog Z80 спустя почти 50 лет решили снять с производства Мир технологий прощается с легендой. Спустя почти 50 лет компания Zilog прекращает производство процессора Z80 — микропроцессора, на котором работало бесчисленное множество консолей, аркадных автоматов и встраиваемых устройств.

Huawei может производить собственные чипы на 5 нанометрах Китайская компания SMIC, партнёр Huawei в области производства полупроводниковой продукции, недавно сообщила об успешной разработке 5-нанометрового технологического процесса без использования оборудования EUV (крупнейшая в мире компания по производству аппаратуры для данного...

Test Jig. Подготовка к массовому производству По какой-то непонятно причине в ru сегменте довольно мало информации о том, что такое Test Jig и зачем он нужен. Он используется в массовом производстве электронных устройств, даже не содержащих микроконтроллер. Каждая плата, прошедшая сборочный конвейер, должна быть протест...

Samsung планирует запустить массовое производство 3-нм чипсета Exynos 2500 Будущий флагманский чипсет Exynos 2500 от Samsung превзойдёт по скорости работы текущие чипы.

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Экраны Tandem OLED от LG появятся не только в технике от Apple Линейка Apple MacBook Pro не будет первой, в которой будет использоваться технология Tandem OLED, поскольку LG начала массовое производство таких дисплеев для ноутбуков.

NVIDIA прекращает производство всех видеокарт GTX 16, включая новую GTX 1630 В семейство входят 6 видеокарт.

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

Производство легендарной «Буханки» модернизировали Ульяновский автозавод модернизировал производство СГР или «Буханка», о чем сообщает инсайдерский Telegram-канал «Автопоток». На производстве автомобилей семейства «Буханка»/«Головастик» внедрили роботизированную завальцовк...

Nissan начнет массовое производство электромобилей с новыми батареями Nissan планирует начать массовое производство электромобилей на основе новых батарей к началу 2029 года. Компания видит шанс догнать и возможно опередить конкурентов с помощью более мощных, дешевых, безопасных и быстрых в зарядке батарей нового поколения.

Ryzen 7000 станут «старыми» уже совсем скоро? Массовое производство CPU нового поколения на архитектуре Zen 5 уже стартовало Пока неизвестно, когда AMD представит процессоры Ryzen нового поколения на архитектуре Zen 5, но сообщается, что их массовое производство уже стартовало.  Об этом написал известный инсайдер Kepler в ответ на соответствующий вопрос. К сожалению, без каких-либо подр...

Samsung Galaxy S25 выйдет на базе Exynos 2500 Согласно информации от западных инсайдеров, компания Samsung планирует придерживаться стратегии с двумя процессорами для своей предстоящей серии флагманских смартфонов Galaxy S25. Это значит, что некоторые регионы мира будут предлагать смартфон нового поколения на базе проце...

LG Display Tandem OLED поступает в массовое производство: на 40% тоньше и на 28% легче OLED LG Display объявила о массовом производстве тандемных OLED-панелей, которые впервые будут доступны в системах Dell XPS.

Массовое производство Snapdragon 8 Gen 4 намечено на III квартал 2024 года Компания Qualcomm сообщила о выходе Snapdragon 8 Gen 3 SoC в октябре прошлого года, и уже в начале 2024 года в розничной продаже появятся рабочие аппараты

Kioxia начала массовое производство самой емкой в мире карты памяти объемом 2 Тб Новинка получила название Exceria Plus G2 microSDXC 2 Тб. Прототип этой карты памяти производитель показывал осенью 2022 года.

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Kioxia начала массовое производство карт памяти microSDXC объемом 2 ТБ Карта получила официальное название Kioxia Exceria Plus G2

Российская компания ICL открыла в Татарстане завод по монтажу материнских плат Группа компаний ICL открыла завод по поверхностному монтажу электронных печатных плат и производству вычислительной техники на территории особой экономической зоны «Иннополис» в Лаишевском районе Татарстана. Сейчас мощности производства составляют 300 тыс. м...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Sonos объявила о задержке выпуска своих новых наушников Компания Sonos столкнулась с технической неисправностью своих новых наушников, что привело к задержке массового производства. Этот этап, известный как тестирование валидации производства (PVT), был приостановлен из-за обнаруженного бага всего за две недели до начала массовог...

ИИ поможет в производстве стволовых клеток для регенеративной медицины Исследователи из Северо-Восточного университета продемонстрировали, как искусственный интеллект (ИИ) может использоваться для массового производства плюрипотентных стволовых клеток, что может быть использовано в лечении рака, болезни Альцгеймера или Паркинсона, а также в рег...

Инсайдер: разработка Apple Car застопорилась Долгожданный автомобиль Apple Car, похоже, снова столкнулся с проблемами. Известный аналитик Минг-Чи Куо в своем Twitter выразил сомнения в будущем проекта, указав, что без стратегии выхода на автомобильный рынок массовое производство Apple Car в ближайшие несколько лет пред...

Компания Textron Systems начала поставлять SECAT - новый военный транспорт-амфибию США Американская компания Textron Systems, занимающаяся разработкой и производством аэрокосмической и оборонной продукции, начала производство нового высокоскоростного транспортного средства-амфибии SECAT.

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

5 детских болезней бизнеса в российском производстве В 2020 г. Л. открыл производство газопоршневых генераторов, которое вышло на оборот в 100 млн/год, первую установку собирал вместе с инженерами в поле. Но с самого начала предприятие не прекращало "болеть", а сейчас переживает тяжелый кассовый разрыв в 30 млнНа основе интерв...

УАЗ модернизировал производство «Буханок» и «Головастиков» Ульяновский автомобильный завод провел модернизацию производственного процесса изготовления кузовных панелей крыши для автомобилей семейства «Буханка» и «Головастик». Обновление коснулось штамповой оснастки. Ранее на УАЗе использовались так назыв...

Южная Корея наращивает производство авиадвигателей для истребителей Компания Hanwha Aerospace начала строительство нового завода по выпуску авиационных двигателей для истребителей KF-21 Boramae и учебных самолетов TA-50 в городе Чханвон. Инвестиции в проект составляют $30 млн, а мощность производства - 300 двигателей в год.

Россия готовится запустить в серийное производство ракету "Буревестник" с ядерным двигателем После успешных испытаний Россия готовится к массовому производству межконтинентальной ракеты "Буревестник" с ядерной энергетической установкой, обладающей практически неограниченной дальностью полета.

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

В «Иннополисе» запустили производство материнских плат В Татарстане начал работу завод по производству плат и комплектующих компьютерной техники

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

SK Hynix начинает серийное производство первой в отрасли памяти HBM3E Компания SK hynix Inc. объявила о начале серийного производства HBM3E, новейшего продукта памяти AI со сверхвысокой производительностью, который будет поставляться заказчику с конца марта

В России запустили серийное производство материнской платы для процессоров «Байкал» и «Элвис» О запуске производства «матерей» для российских процессоров заявил ресурс Moscowseasons.

Samsung откладывает начало производства чипов в США Медлительность администрации Байдена в предоставлении финансовой поддержки мешает проектам по производству чипов на территории США

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Благодаря этому процессору AMD рынок портативных приставок полностью изменился. Появились фото APU Aerith консоли Steam Deck под микроскопом Valve назвала лучшие игры Steam за 2023 год. Там есть Baldur's Gate 3, Hogwarts Legacy и Starfield Портативная игровая приставка Steam Deck основана на гибридном процессоре AMD под кодовым именем Aerith, который относится к линейке Van Gogh. И сегодня у нас есть во...

LG начала производство зарядных устройств для электромобилей LG открыла свой первый завод по производству зарядных устройств для электромобилей в Форт-Уэрте, Техас. Это производство площадью 5481 кв метров способен выпускать до 10,000 зарядных устройств в год.

В России запустили производство лазерных станков для печатных плат Московская компания начала выпуск лазеров для производства печатных плат и полупроводников

TSMC заявила, что начнет производство 1-нм чипов к 2030 году Компания также заявила, что к тому времени планирует иметь на одном кристалле 1 триллион транзисторов.

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Новые процессоры Intel Xeon 6 – до 288 ядер и TDP до 500 Вт На выставке Computex 2024 компания Intel представила новое семейство серверных процессоров, получившее название Xeon 6. Из семейства чипов Xeon первым дебютирует процессор Intel Xeon 6 E-core под кодовым названием Sierra Forest (доступны уже сейчас). А в следующем квартале…

Новейшая Lada Iskra готова на 80%. АвтоВАЗ собирается локализовать еще несколько десятков компонентов Глава АвтоВАЗа подтвердил, что новая Lada Iskra, которую уже сваривают и собирают в тестовом режиме, готова на 80%. Презентация новинки состоится на Петербургском международном экономическом форуме (ПМЭФ) в начале июня. «Уровень готовности можно оценить в пре...

В сеть попала фотография кристалла 24-ядерного процессора Intel Arrow Lake-H Первая фотография показывает, что кристалл состоит из шести компонентов

Моторы «Евро-5» вернутся в УАЗ «Патриот» и «Пикап» в 2024 году. Они получат новые блоки управления После прошлогоднего кризиса УАЗ начал устанавливать на свои автомобили двигатели «Евро-2», что допускается сейчас техрегламентом. Однако эти моторы не задержатся надолго под капотом отечественных внедорожников: УАЗы получат двигатели «Евро-5» уже...

Российские аналоги Mercedes-Benz S- и E-классов, недорого. Aurus запустит производство новых автомобилей Глава Минпромторга Денис Мантуров анонсировал скорый запуск производства в России новых автомобилей Aurus, они будут меньше и дешевле нынешнего Aurus Senat. Фото: Aurus «Мы планируем в ближайшее время запустить в производство линейку автомобилей категории S-класс...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Nvidia прекращает массовое производство RTX 4070 Ti и RTX 4080. Модели Super ожидаются уже в январе Анонс видеокарт GeForce RTX 40 Super намечен на начало января. И ранее мы уже слышали, что некоторые из новых карт призваны не расширить линейку RTX 40, а заменить существующие модели. И вот теперь сообщается, что массовое производство RTX 4070 Ti и RTX 4080 уже прекращ...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

В «Бауманке» запускают первое в России контрактное производство квантовых процессоров В будущем производство сверхпроводниковых квантовых процессоров в МГТУ им. Н.Э. Баумана планируют масштабировать.

Оглушительный успех Huawei Mate 60 вынуждает запустить производство Huawei P70 с опережением графика: доля китайских запчастей сильно превысит 90% Согласно последним новостям из цепочки поставок, поскольку линейка Huawei Mate 60 продаётся настолько хорошо, Huawei планирует начать массовое производство серии P70 раньше запланированного. По данным из цепочки поставок, Huawei начала резервировать детали и компоненты ...

Huawei жертвует производством SoC Kirin и смартфонов Mate 60 ради выпуска ускорителей для искусственного интеллекта Компания Nvidia, как ранее сообщалось, частично жертвует производством GPU для игровых видеокарт в пользу ускорителей для ИИ. Похоже, примерно то же будет делать Huawei.  Как сообщается, китайский гигант намерен отвоевать у Nvidia часть её доли на рынке ускорителе...

В России стартует производство автомобилей нового бренда: обещают сразу три модели Forthing Российский автомобильный холдинг «Автотор» готовится к началу выпуска автомобилей марки Forthing в Калининграде в марте текущего года, о чем пишет ТАСС со ссылкой на пресс-службу завода. «На заводе "Автотор" в Калининграде ведется подгот...

«Газпром» запустит производство своих спутников в следующем месяце Спутник «Смотр-В». Изображение: «Газпром СПКА» Стало известно, что российский газовый гигант «Газпром», который начал активно расширяться и в другие отрасли, готовит к запуску в июле сборочную линию по выпуску космических аппаратов семейства «Смотр-В».

24 ядра, частота 6,2 ГГц, потребление 410 Вт и температура свыше 100 градусов Цельсия. Характеристики топового Core i9-14900KS В Сети появились характеристики будущего топового процессора Intel семейства Raptor Lake-S. Core i9-14900KS сможет работать на частоте до 6,2 ГГц – на 200 МГц больше, чем у Core i9-13900KS. Но топовый процессор потребляем много и прилично греется. В составе Core ...

Это Ryzen 7 9800X или Ryzen 7 9700X. Первое фото инженерного образца процессора Ryzen 9000 для настольных компьютеров В Сети опубликовано первое фото будущего процессора AMD линейки Ryzen 9000 для настольных компьютеров (Granite Ridge). На фото показан CPU с 18 ядрами и поддержкой многопоточности, что будет соответствовать Ryzen 7 9800X или Ryzen 7 9700X. Топовая модель линейки, согнла...

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

AMD подала патент на новую технологию чиплетов для будущих поколений видеокарт Radeon Чиплеты могут разделить графический процессор на несколько отдельных кристаллов с разными функциями

АвтоВАЗ опроверг остановку производства универсалов Lada Vesta АвтоВАЗ опроверг остановку выпуска и отгрузки универсалов Lada Vesta, о чем сообщила пресс-служба компании по запросу Autonews.ru. Ранее в сообществе Avtograd news во «ВКонтакте» появилась информация о том, что АвтоВАЗ возобновил производство автомобилей Lad...

Когда начнутся сборка и продажи Lada Iskra, сколько она будет стоить, рассказал главный редактор журнала «За рулем» Главный редактор журнала «За рулем» Максим Кадаков рассказал, что для производства Lada Iskra выбраны еще не все поставщики комплектующих, поэтому до начала производства остается как минимум полгода. По моим прикидкам, Lada Iskra начнут делать в январе, а пр...

«Северсталь» начала производство нержавеющих порошков для промышленности На Череповецком металлургическом комбинате «Северсталь» запустила первую в России установку по производству водораспыленных нержавеющих и низколегированных порошков для изготовления деталей для промышленности методом прессования.

Micron Technology объявила о начале серийного производства памяти типа HBM3E HBM3E (High Bandwidth Memory 3E) будет использоваться в графических процессорах NVIDIA H200 Tensor Core

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Apple уже несколько раз откладывала старт производства новых iPad Компания Apple уже несколько раз переносила дату начала массового производства новых iPad. Об этом рассказали авторы ресурса DigiTimes со ссылкой на собственные источники среди тайваньских поставщиков Apple.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы...

AMD представила процессор Ryzen 7 5700 Сегодня компания AMD официально заявила о выходе своего нового процессора Ryzen 7 5700, не имеющего встроенного графического ядра. Стоит напомнить, что первые намёки на релиз процессора AMD Ryzen 7 5700 были замечены ещё в начале этого года — они указывали на то, что готовит...

Новый метод производства Tesla может вдвое снизить затраты на производство В автомобиле Tesla стоимостью 25 000 долл. будет применен инновационный подход к производству, который позволит сократить расходы. Tesla называет новый подход к производству методом "unboxed".

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

Так какой же процессор использовался в играх Brick Game? Часть 2 Это продолжение моей предыдущей статьи, в которой я рассказал о не очень удачной попытке достать кристалл микроконтроллера одной из игр семейства Brick Game. На этот раз попытка оказалась удачной - кристалл уцелел, прошивка успешно прочитана и написан эмулятор. Но обо всем п...

Samsung Glasses начнут продавать уже в начале 2024 года Сегодня появилась информация от инсайдеров о том, что корейский гигант Samsung планирует назвать свое следующее носимое устройство виртуальной реальности Samsung Glasses. Это название компания официально зарегистрировала на локальном рынке, так что, вероятно, его будут испол...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Intel представила новую мобильную архитектуру Lunar Lake, выход которой запланирован на 3 квартал Компания задействовала 3-нм техпроцесс TSMC для одной плитки нового процессора, что знаменует собой монументальный сдвиг в стратегии производства процессоров.

Почему Samsung и SK Hynix отказались "воевать" за чипы Южнокорейские чипмейкеры получили от Вашингтона бессрочную отсрочку на поставки американских инструментов для производства кристаллов в Китай

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Qualcomm Snapdragon 8 Gen 4 появится в смартфонах уже в сентябре Компания Qualcomm и её партнёры активно работают над тем, чтобы выпустить новейший флагманский процессор Snapdragon 8 Gen 4 на рынок — в сети уже достаточно много информации о данном чипе, который, вероятно, обладает действительно впечатляющей производительностью. Например, ...

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Qualcomm не закрыла тему заказа в производство чипов компании Samsung По слухам, её интересует 2-нм техпроцесс южнокорейского подрядчика.

У покупателей Lada Granta большой выбор: в начале 2024 года машины доступны в пяти цветах Цветовая палитра автомобилей Lada Granta в начале этого года включает целых пять вариантов, о чем сообщает инсайдерский паблик Avtograd News в соцсети «ВКонтакте». Цветовая палитра автомобилей Lada Granta на конец 2023 начало 2024 года. Присутствует 5 видов...

Мини-ПК Hiper ExpertBox D20 на процессоре Intel Core i5-1240P: типичное семейство рабочих лошадок — скромных, но очень востребованных Hiper ExpertBox D20 — массовый мини-ПК, использующий массовую же ноутбучную платформу, которая отлично упаковывается в компактный настольный корпус и никаких трудностей владельцу не создает. Купил, установил необходимое ПО (включая, возможно, и операционную систему), а дальш...

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

LG Display представила монитор с переключателем разрешения Компания LG Display, ведущий производитель дисплеев в мире, сегодня официально объявил о создании первой в мире игровой OLED-панели с возможностью переключения частоты обновления и разрешения. Более того, если верить пресс-релизу, компания уже начала массовое производство 31...

АвтоВААЗ перезапустил производство, которое простаивало более восьми лет. Первые машины «ВИС-Авто», собранные в ОПП, уже доставлены дилерам Состоялся перенос автосборочных мощностей компании «ВИС-Авто» на площадку бывшего опытно-промышленного производства АвтоВАЗа, которое простаивало более восьми лет, о чем пишет «Автопоток». АвтоВАЗ перезапустил бывшее Опытно-промышленное производс...

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

GS Group: российским брендам больше нет смысла размещать заказы на азиатских ODM-площадках Серийное производство вычислительной техники по модели ODM стало новым направлением деятельности холдинга.

Эволюция процессор Google Pixel: от прощания со Snapdragon к будущему Tensor Сага о Pixel принимает новый оборот, когда чип Tensor полностью собственного производства Google выходит на финальную стадию разработки, если верить сообщениям в Сети. Давайте окунемся в этот путь, узнаем о трудностях и перспективах, в чем попытались разобраться 9to5Goo...

АвтоВАЗ выпускает Lada Vesta только в минимальной комплектации, а «производство Granta творит чудеса» — все машины без подушек безопасности, согласно Avtograd News В данный момент с конвейера АвтоВАЗа сходят автомобили Vesta только в кузове «седан» в минимальной комплектации, о чём пишет инсайдерский паблик Avtograd News в соцсети «ВКонтакте». Последняя рабочая неделя в этом году на ВАЗе. С конвейера сходят...

Huawei и тут собирается обойти санкции США. Компания наладит собственное производство памяти HBM Компания Huawei собирается выйти на новый для себя рынок, который позволит ей создавать более производительные чипы. Речь о производстве памяти HBM.  Huawei Technologies объединяется с Fujian Jinhua Integrated Circuit и другими китайскими компаниями для разработки...

Intel снимает с производства процессоры Raptor Lake-S Сегодня компания Intel официально сообщила о своих планах по прекращению выпуска настольных процессоров 13-го поколения Raptor Lake-S с разблокированным множителем — начиная с текущего квартала BOX-версий чипа выпускать никто не будет. Последний срок для оформления заказов ...

TSMC запускает строительство завода по производству чипов в Германии Компания TSMC объявила о начале строительства своего европейского завода по производству полупроводников в Дрездене, Германия. Инвестиции в проект составляют 11 миллиардов долларов, а производство запланировано на 2027 год.

Росатом начал производство первой в мире наземной атомной станции малой мощности Росатом, ведущая компания в области атомной энергетики, запустила процесс изготовления деталей для малой атомной станции нового поколения. Машиностроительный дивизион в Санкт-Петербурге приступил к производству разнообразных заготовок для первой в мире наземной атомной станц...

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Компания Minisforum готовит планшет на базе процессора AMD Hawk Point Предположительно, это одно из семейств в будущей серии AMD Ryzen 8000.

Military Watch Magazine: массовое производство модернизированных Су-57М начнётся с 2025 года Су-57 получит новый двигатель и станет самым быстрым истребителем 5-го поколения

Безлитиевые натриевые аккумуляторы поступают в массовое производство Новые аккумуляторы имеют множество преимуществ. Пока неизвестно, можно ли их эффективно использовать.

Запасы GeForce RTX 4070 Ti и RTX 4080 будут исчерпаны ещё до конца года GeForce RTX 4090 для Китая больше "не существует". Адаптер удалён с китайского сайта Nvidia, при этом на российском страничка ещё имеется Как известно, Nvidia прекращает массовое производство RTX 4070 Ti и RTX 4080 перед выходом моделей Super. Как сообщается,...

В Москве запустили производство автопилотов для сельхозтехники Группа компаний «Итэлма» начала массовое производство надежных автопилотов для сельскохозяйственных машин на своем заводе в Москве. Первые автопилоты уже будут установлены на сельхозтехнику и готовы к использованию уже в текущем 2024 году.

Процессоры AMD EPYC-E для сокета SP8 могут получить до 64 ядер Zen 6 и поддержку PCIe Gen 6 Часть кристаллов с ядрами CCD заменят на специализированные кристаллы FGPA и NCD.

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Самая практичная Lada встаёт на конвейер: изначально будут доступны базовые пассажирская и грузовая версии Largus 2024 Classic Представительная делегация АвтоВАЗ во главе с его руководителем Максимом Соколовым отправилась на завод «Lada Ижевск», о чем сообщает инсайдерский паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте». Как уже сообщалось, на 15 мая здесь ...

Huawei продала мобильных процессоров на 6 миллиардов долларов Релиз нового процессора Kirin 9000S в прошлом году, а затем и его улучшенной версии Kirin 9010, который используется в новейшей серии смартфонов Huawei Pura 70, помог компании вернуться на рынок смартфонов после достаточно длительного простоя. По данным инсайдеров из Азии, п...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

В SK Hynix подтвердили, что компания планирует перенести своё производство в Соединённые Штаты Массовое производство чипов памяти на новом предприятии может начаться в 2028 году

Чипы для всех. Свободный стандарт на производство микросхем LibrePCB: бесплатный инструмент для разработки печатных плат под Windows, Linux и MacOS Идея свободного железа особенно актуальна сейчас, когда крупные корпорации пытаются ограничить доступ к своей интеллектуальной собственности, а национальные правительства ставят друг дру...

Новое оружие Intel, но уже без Hyper-Threading. Стало известно, когда выйдут процессоры Arrow Lake для ноутбуков Документы компании Clevo пролили свет не только на видеокарты GeForce RTX 50 Laptop, но и на будущие мобильные процессоры Intel.  Согласно этим документам, мобильные Arrow Lake выйдут в четвертом квартале. Настольные, напомним, тоже (в октябре). Нас ждут Arrow Lak...

Lada Largus 2024 собирают роботы. Опубликовано видео с конвейера Кузова для новых универсалов Lada Largus уже сваривают роботы, о чем пишет паблик «На заводе Ижевск» в соцсети «ВКонтакте». На производстве сварки Lada Ижевск в рамках подготовки к выпуску автомобилей семейства Lada Largus продолжается...

В Великобритании изготовили восьмой прототип новейшего танка Challenger 3 В настоящий момент уже протекают испытания новой техники и уже по их результатам начнётся массовое производство

Подорожало ли производство iPhone 15 Pro Max в сравнении с прошлой моделью В сентябре 2023 года Apple представила семейство iPhone 15, и наиболее заметной моделью стал iPhone 15 Pro Max.

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Будущие процессоры Intel могут отказаться от технологии Hyper-Threading Китайский инсайдер Golden Pig Upgrade утверждает, что процессоры семейства Arrow Lake, выходящие в этом году, не будут поддерживать Hyper-Threading

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

В Рязани начался массовый выпуск серверов OpenYard Компания «Центр открытых разработок» объявила о запуске массового производства ИТ-оборудования на собственном заводе, который расположен на территории технопарка «Рязанский». Комплекс общей площадью свыше 8 тыс. кв. м обеспечивает производство по...

Производство Skoda Octavia, Kamiq, Karoq и Kodiaq запускают в Казахстане, но везти такие машины в Россию будет невыгодно, считает 74.ru Skoda и завод Allur подписали соглашение о начале производства автомобилей Skoda в Казахстане. Изначально они будут выпускаться методом крупноузловой сборки, но уже в конце года будет рассмотрена возможность CKD-производства со сваркой и окраской. В этом году планирует...

Производитель российских ABS получит 10 млн отечественных резисторов для «для санкционно-стойких автомобильных блоков» Компания «Итэлма», запустившая летом в Костроме производство блоков ABS для автомобилей Lada, заключила партнерство с предприятием «Ресурс»: оно поставит «Итэлме» 10 миллионов резисторов уже в текущем году. Эти компоненты будут и...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

TSMC начала испытывать прямоугольные подложки для новейших ИИ-чипов Тайваньский чипмейкер TSMC начал испытания нового способа упаковки микросхем для производства новейших ИИ-чипов.

Почём Optimus для народа: Tesla назвала стоимость человекоподобных роботов Недавнее собрание акционеров Tesla в 2024 году ознаменовало собой важную веху на пути компании к передовой робототехнике. Илон Маск представил свежие обновления человекоподобного робота Optimus Gen 2, а также назвал расценки.  По словам Маска, стоимость Optimus Ge...

Аквариус и Nemifist запускают производство игровых и корпоративных компьютеров в Твери Компания "Аквариус" в сотрудничестве с Nemifist объявила о начале производства специализированных игровых ПК и компьютерных сборок для корпоративного сектора на своих мощностях в Твери. Планируется выпуск шести моделей, включая бюджетные, среднебюджетные и топовые устройства...

Грузовик, для которого достаточно удостоверения категории B. Выпущен юбилейный КАМАЗ «Компас 5» Компания «Тракс Восток Рус», специализирующаяся на производстве грузовых автомобилей семейства КАМАЗ «Компас», завершила 2023 год значительным событием, выпустив свой 5000-й грузовик - новый КАМАЗ «Компас 5». Этот юбилейный малотоннаж...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Тут 32 ГБ HBM2e, 8 ГБ SDRAM, FPGA, два процессора Arm и почти 11 000 срезов DSP. Представлен ускоритель AMD Alveo V80 за 9495 долларов Компания AMD объявила о старте массового производства своего достаточно необычного продукта под названием Alveo V80.  Alveo V80 — это так называемый вычислительный ускоритель. В данном случае ориентированный на высокопроизводительные вычисления для рабочих н...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Samsung Galaxy S26 может получить 2-нм чип Samsung Tethys Samsung работает над 2-нм техпроцессом, при этом компания хочет первой выпустить такие чипы, превзойдя TSMC. Как пишет gizmochina, компания получила первый заказ на производство 2-нм чипов от японской компании Preferred Networks (PFN). Кроме того, появились сведения, чт...

В АвтоВАЗе наметили целевую аудиторию Lada Iskra Президент АвтоВАЗа Максим Соколов рассказал, что новая Lada Iskra ориентирована на молодое поколение. По его словам, она отлично подойдёт в качестве первого автомобиля, поскольку дизайн Lada Iskra «более динамичный, сбалансированный и молодёжный». Соколов та...

Core i9-14900 дешевле Core i9-14900K на 7%, а Core i7-14700 дешевле Core i7-14700K на 14%. Испанский ретейлер раскрыл стоимость новых CPU Intel Raptor Lake Refresh Испанский ретейлер Coolmod обновил свой каталог процессорами Intel Core 14 поколения (Raptor Lake Refresh) без поддержки разгона. Самое главное – указаны цены. Изображение: Videocardz Стоимость всех CPU Raptor Lake Refresh (в том числе и ранее представленных топо...

Мощные GPU в Китае: полное самообеспечение к 2027 году. Реально ли? Правительство Пекина планирует предоставить субсидии компаниям, которые покупают чипы внутренних производителей с целью «ускорения поставки управляемых интеллектуальных вычислительных ресурсов». Особенное внимание уделяется процессорам GPU, на производство и продажу которых ...

SMIC создает линии для производства 5-нм чипов Kirin для Huawei С приближением выпуска семейства смартфонов Mate 70, Huawei стоит перед задачей разработки более производительных и эффективных чипов

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

В Южной Корее начинается массовое производство новых управляемых ракет Они должны заменить американские TOW, в данный момент находящиеся в распоряжении корейской армии.

InnoGrit представила первый китайский PCI-E 5.0 SSD-контроллер Он уже поступил в массовое производство

Intel запустила массовое производство по технологии Intel 4 в Ирландии Впервые в истории компании.

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

КамАЗ запускает в производство новые модели На КамАЗе успешно собраны первые образцы новых моделей грузовиков, о чем сообщает журнал «За рулем». В январе этого года КамАЗ впервые уступил лидерство в продажах грузовиков на российском рынке китайским грузовикам Sitrak. На заводе КамАЗ понимают, что ключ...

Характеристики AMD Ryzen 8000G попали в сеть Сегодня характеристики и основные особенности APU (система на кристалле с интегрированной графикой) AMD Ryzen 8000G под кодовым названием Hawk Point утекли в сеть благодаря компании ASRock, которая эту информацию подтвердила. Новые системы на кристалле из семейства Hawk Poin...

Представлены китайские процессоры Montage Technology Jintide с количеством ядер вплоть до 48, внутри которых на самом деле скрываются CPU Intel Пока одни китайские компании разрабатывают собственные GPU, другие берут продукты глобальных гигантов и переделывают их в продукты для Китая. Компания Montage Technology представила линейку процессоров Jintide пятого поколения. Но это не собственная разработка Montage T...

ASRock и Asus рассекретили четыре новых APU AMD для настольных ПК. Линейку Ryzen 8000G (Hawk Point) возглавит 8-ядерный Ryzen 7 8700G Asus и ASRock обновили описание своих материнских плат для процессоров AMD: в перечне поддерживаемых APU прописались четыре новые модели линейки Ryzen 8000G. Они ещё пока не представлены официально – премьера ожидается в начале 2024 года на выставке...

Intel подробнее рассказала о семействе техпроцессов Intel 3 Intel 3 обратно совместим с Intel 4.

Huawei разрабатывает новый флагманский процессор Сегодня появилась достаточно интересная информация о том, что компания Huawei, бывший китайский гигант рынка смартфонов, активно разрабатывает флагманский процессор серии Kirin для своего грядущего гаджета. Здесь хотелось бы напомнить, что производитель выпустил процессор Ki...

Samsung ускорит внедрение подачи питания с оборотной стороны кристалла чипа Технология может найти применение уже в рамках 2-нм техпроцесса со следующего года.

Ким Чен Ын проинспектировал работу завода по производству тактических ракетных систем Верховный лидер КНДР Ким Чен Ын посетил оборонное предприятие, специализирующееся на производстве тактических ракетных систем. Он заявил об успешном выполнении планов производства на первом полугодии и наметил увеличенные цели на конец года.

Китай объявил о разработке нового метода массового производства оптических чипов Это может радикально изменить глобальный рынок полупроводников и укрепить технологическое лидерство страны.

Садко или китайцы: кто будет собирать телевизоры Сбера в Новгороде? SberDevices (которые как бы не Сбер, но все всё понимают) в следующем году собирается локализовать до 50% производства (сборки, конечно) своих телевизоров под маркой Сбера (а чего не Салюта?) в Новгороде. Площадку в ОЭЗ «Новгородская» начнут оснащать в начале 2024 года, а на...

Samsung готовит к релизу Galaxy Ring Согласно данным журналистов из Южной Кореи, Samsung стремится к массовому производству умного кольца Galaxy Ring в количестве от 400 000 до 500 000 единиц в год — это начальный этап производства, чтобы проверить спрос на гаджет в мире. Это кажется отличной идеей, но здесь ст...

SMIC и Huawei готовятся к массовому производству 5-нм чипов Технологическая гонка: Китай стремится завоевать лидерство в микроэлектронике.

Российский «Микрон» готов начать массовое производство чипов по 65-нм узлу, но только в 2028г Почти на 25 лет позднее, чем это сделала Intel

Российские инженеры готовят к массовому производству вездеход-амфибию "Тритон" Создана новая амфибия для форсирования водных преград: "Тритон"

Российская компания «Гравитон» начала серийный выпуск твердотельных накопителей Российский производитель вычислительной техники «Гравитон» начал серийное производство нового поколения твердотельных накопителей. SSD типоразмера M.2 2280 с интерфейсом PCIe Gen3x4 NVMe подойдут для использования в ПК, моноблоках и ноутбуках «Гравитон...

Apple разрабатывает совершенно новые процессоры Сегодня появилась информация о том, что компания Apple активно работает над расширением производственных мощностей по технологии упаковки CoWoS, которая будет использоваться в ближайшем будущем для чипов нового поколения. Кроме того, инсайдеры уверены в том, что технологичес...

iPhone 17 Pro станет первым смартфоном с 2-нм чипом Ранее в сети уже появлялась информация о том, что TSMC начала работы над 2-нм чипами. Их массовое производство должно начаться в 2025 году. Теперь эти данные подтверждают и другие источники. Более того, они заявляют, что 2-нм чипы станут одной из фишек iPhone 17 Pro и iPhone...

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

Теперь не только в новых iPad Pro. LG начала массовое производство первых на рынке панелей Tandem OLED для ноутбуков Компания LG начала массовое производство панелей Tandem OLED для ноутбуков.  Это такие же двуслойные панели, как у новейших iPad Pro, но теперь для мобильных ПК. И первыми такие экраны получат ноутбуки Dell.  Изначально это будут только 13-дюймовые экраны. LG...

Ростех запустил серийное производство модулей управления для беспилотников Госкорпорация "Ростех" объявила о начале серийного производства инновационных модулей управления для беспилотных летательных аппаратов (БПЛА), что является значительным шагом в развитии отечественных технологий в этом направлении. Новые модули повысят эффективность применени...

КамАЗ начал выпускать новые грузовики флагманского семейства К5, они составят конкуренцию тяжёлым «китайцам» Как сообщает Quto.ru, КамАЗ запустил опытную сборку новых моделей грузовиков флагманской линейки К5 – это трехосные самосвалы 6595 и 65952 и четырёхосный 65951. Производство хоть и опытное, но серийное: грузовики выпускаются партиями. Фото: КамАЗ Первый экземпляр...

Китайская компания SMIC в шаге от производства 5-нм чипов, несмотря на торговые ограничения Сообщается, что компания построила два новых завода по производству чипов.

NVIDIA могла прекратить массовое производство RTX 4080 и 4070Ti, сосредоточившись на SUPER вариантах Слухи об этом доносятся с китайских форумов.

Чипсет Apple A19 Pro не будет построен по 2-мм технологии Утверждается, что 2-нм узел TSMC не будет готов к массовому производству в следующем году.

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Все недостатки двигателя Т-14"Армата" устранены - танк идет на конвейер Стало известно, что все недостатки и проблемы Х-образного двигателя 2В-12-3А для семейства платформы «Армата» исправлены. Улучшенный вариант двигателя презентовали на форуме «Армия-2023». Также в ближайшее время начнется серийное производство новейшего танка Т-14.

В Ижевске вовсю готовятся к перезапуску производства Lada Largus. В конце января будет сварен первый кузов из панелей, сделанных на предприятии АвтоВАЗ планомерно реализует проект по возобновлению производства Lada Largus в России – в Ижевске. По словам генерального директора завода Александра Богачёва, в конце января на предприятии сварят первый кузов из узлов, произведённых непосредственно в Ижевске. П...

Samsung намерена начать производство своего 3-нм чипсета Exynos во второй половине 2024 года О выпуске Samsung Galaxy S25, который ожидается в 2025 году, говорят уже давно. Наконец, новый чипсет Exynos, по слухам, поступит в массовое производство во второй половине 2024 года.

MediaTek Dimensity 9400 получит новое производительное ядро Cortex-X5 В ноябре прошлого года компания MediaTek официально выпустила процессор Dimensity 9300, представив уникальный дизайн с четырьмя производительными ядрами Cortex-X4 — обычно производители используют всего одно такое ядро. Соответственно, в отличие от традиционных конфигураций ...

Чтобы потом перенести некоторые вычисления в оперативную память. Hynix начнет с интеграции контроллера напрямую в чипы HBM4 Компания SK Hynix планирует интегрировать дополнительные функции в свою память HBM4E следующего поколения.  Разговоры о том, что некоторые вычисления можно перенести непосредственно в чипы памяти, ходят давно, и Hynix намерена сделать в этом направлении первый важ...

АвтоВАЗ начал производство новой версии Lada Granta с Lada EnjoY Pro АвтоВАЗ сообщил о начале производства новой версии Lada Granta с мультимедийной системой Lada EnjoY Pro. Мультимедийная система Lada EnjoY Pro позволяет дублировать некоторые приложения со смартфонов, поддерживает популярные онлайн-сервисы, включая Навигатор, Музыку, За...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Mobvoi представила умные часы TicWatch Pro 5 В мае прошлого года компания Mobvoi представила свои новые умные часы TicWatch Pro 5, а год спустя бренд анонсировал модель Enduro — необычную версию в линейке TicWatch Pro для энтузиастов, которым нужны прочные умные часы. Стоит отметить, что часы TicWatch Pro 5 Enduro рабо...

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

С помощью ДНК-оригами создали передовые фотонные кристаллы Используя ДНК-оригами, исследователи LMU построили алмазную решетку с периодичностью в сотни нанометров, представив новый метод производства полупроводников. В отличие от традиционных методов литографии, этот подход использует самособирающиеся структуры ДНК для создания фото...

От 2 ядер на частоте 3,9 ГГц до 24 ядер на частоте 5,8 ГГц. Ретейлер засветил 65-ваттные процессоры Intel Core 14 поколения Инсайдер, известный в Twitter под ником momomo_us, обнаружил в каталоге одного из ретейлеров сразу девять процессоров Intel Core 14 поколения. Это настольные CPU с TDP 65 Вт – их премьера состоится лишь через месяц, но ретейлер поспешил добавить будущие новинки в ...

BYD продолжает запускать заводы в новых странах: стартовало производство машин в Таиланде, на очереди — Индонезия BYD начала тестовое производство на заводе в Таиланде. Серийное производство начнется в следующем месяце. Планируемый объем производства тайского завода составит 150 000 автомобилей в год. В апреле 2024 года BYD также начнет строительство сборочного завода в Индонезии. ...

Apple возлагает большие надежды на iPhone 16 Pro Официальный дебют новой серии iPhone 16 традиционно состоится в сентябре нынешнего года. В сети появились новые слухи относительно грядущей линейки смартфонов. Как сообщает Росс Янг из Display Supply Chain Consultants, массовое производство дисплейных панелей для новой серии...

«Cамый доступный российский легковой автомобиль на высокотехнологической платформе» Lada Iskra уже задерживается Президент компании АвтоВАЗ Максим Соколов подтвердил смещение сроков начала серийного производства Lada Iskra на заводе в Тольятти. «Ещё новая модель — это автомобиль Lada Iskra. Старт её производства запланирован на самое начало 2025 года и это будет с...

Свежие цены 2024 года на все модели Lada и комплектацию машин показали на видео 31 декабря в салонах Lada обновили цены на актуальные модели автомобилей производства АвтоВАЗа, которые будут действовать в начале 2024 года. В следующем ролике показываются различные модели автомобилей, включая Lada Granta CLUB 23 со 106-сильным мотором за 966 тыс. ру...

Wall Street Journal: Rheinmetall имеет амбиции по производству новейших танков «Пантера» в Украине Компания уже пытается наладить производство бронетехники на украинских территориях.

Боевая машина "Тайфун-ПВО" готовится к массовому производству Разработка бронированного автомобиля "Тайфун-ПВО" завершена, и машина готова к серийному производству.

Китай стремится запустить массовое производство роботов в 2025 году Роботы, вероятно, станут такими же обыденными и популярными, как компьютеры, смартфоны и электромобили

Представлены флагманские AR-очки Meizu MYVU Discovery Meizu выпустила устройство, которое называет самыми легкими в массовом производстве AR-очками с полноцветным изображением.

В России разрабатывают отечественный автомобиль с гибридным двигателем Заявлено, что массовое производство новинок начнётся с 2025 по 2026 год

SK hynix планирует начать массовое производство GDDR7 в первом квартале 2025 года Образцы памяти для тестирования у компании уже есть.

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

Huawei создала собственный процессор на 7 нанометрах Сегодня иностранные журналисты заявили, что компании Huawei и SMIC удивили представителей из США, представив 7-нм процессор Kirin 9000S, который эксперты отрасли считают технологическим прорывом из-за существующих торговых санкций. При этом специалисты отмечают, что крупнейш...

Intel Core i9-14900KS протестировали с жидким металлом Сегодня в сети появились первые изображения процессора Intel Core i9-14900KS после удаления теплораспределительной крышки. Впрочем, они ничем не отличаются от того, что мы уже видели с процессором Intel 14900K, поскольку оба чипами являются абсолютно идентичными и с одинаков...

«Ростех» рассказал о создании нового противодронового комплекса Инженеры включенного в структуру «Ростеха» НПО «Квант» завершили разработку передового комплекса «Гроза.04.К», ключевой задачей которого является противодействие дронам формата FPV. Массовое производство системы уже запущено.

Таких процессоров Intel мы в итоге уже не получим. Компания экспериментировала с пятичиплетными CPU Meteor Lake Процессоры Intel Meteor Lake уже вышли. Они имеют до шести больших ядер и восьми малых, если не считать отдельные два ядра в чиплете SoC. Оказалось, что Intel экспериментировала с вариантами Meteor Lake с другой конфигурацией чиплетов и, возможно, большим количеством яд...

Китайцы захватывают собственный рынок: доля машин местного производства превысила 60% Китайская автомобильная ассоциация опубликовала данные, согласно которым в январе 2024 года объем продаж легковых автомобилей китайских брендов составил 1,278 млн единиц, что на 68,6% больше, чем в прошлом году. Их доля составила 60,4%, увеличившись на 8,8 процентных пу...

AMD возможно планирует перенести производство GPU Radeon на более дешевый техпроцесс Samsung По словам @Tech_Reve, Team Red диверсифицирует свою цепочку поставок, перенеся производство своих недорогих APU Ryzen (и Athlon) на более дешевый техпроцесс Samsung.

Появились кадры производства дронов-камикадзе Герань 2 и склада новых беспилотников Военкоры пишут, что производство в сутки уже перевалило за 100 единиц

В России началось массовое производство авиабомб ФАБ-3000 Трёхтонная фугасная бомба — это вам не шутки-прибаутки с дронами за $500.

Tesla объявила дату первых поставок Cybertruck — 30 ноября 2023 года Компания планировала начать массовое производство модели ещё в 2021 году.

Массовое производство 2-нм чипов TSMC обещает начать в конце следующего года И тогда же победить дефицит чипов для систем искусственного интеллекта.

Toyota приостановила производство на четырех заводах из-за фальсификации данных Японский автомобильный концерн Toyota Motor временно приостановил работу шести производственных линий на четырех заводах в Японии из-за фальсификации данных о мощности дизельных двигателей, предоставленных подрядчиком Toyota Industries Corporation. Эту информацию переда...

Intel запускает в Ирландии завод нового поколения для выпуска 7-нм чипов под брендом Intel 4 Intel готовится к запуску процессорного завода в Ирландии для массового производства чипов по технологии Intel 4, ранее известной как 7-нм процесс

Intel Xeon W9-3595X активно тестируют перед релизом Следующий поколение процессоров Intel Xeon-W, вероятно, уже совсем скоро отправится в релиз, потому что модель W9-3595X удалось обнаружить в базе данных Geekbench с 60 ядрами. Стоит напомнить, что ранее инсайдеры опубликовали различные данные о процессорах нового поколения и...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Инсайды о новой линейке AirPods: другой дизайн, USB-C и шумоподавление По словам Марка Гурмана, Apple намерена существенно обновить линейку AirPods. Сообщается, что поставщики готовятся к массовому производству двух новых моделей AirPods, которое начнется в мае и будет ориентировано на осенний релиз вместе с iPhone 16.

Nvidia расширяет производство чипов искусственного интеллекта в Японии Одновременно с этим Китай вкладывает значительные средства в превращение Гонконга в новый центр производства полупроводников.

Из-за торговых ограничений США крупные компании переносят производство чипов из Азии Компании Amazon, Google, Microsoft и другие рассматривают Мексику в качестве нового центра производства серверов для искусственного интеллекта.

Стартовало производство отечественных ноутбуков «Аквариус» с высоким разрешением экрана Компания «Аквариус» сообщила о запуске производства улучшенной версии отечественных ноутбуков с высоким разрешением экрана. Ноутбук Aquarius Cmp NS616 разработан в собственном R&D-центре компании, системная плата изготовлена на производстве в Шуе, а сбор...

Кировский завод увеличит производство полностью отечественных коробок передач Петербургский тракторный завод, входящий в состав Группы компаний «Кировский завод», планирует значительное увеличение производства отечественных коробок передач до конца 2024 года. Согласно данным пресс-службы ПАО «Кировский завод», с начала текущего года уже произведено 11...

Оказалось, что старые процессоры AMD таят в себе пистолет и американский штат. На кристалле CPU Athlon K7 выгравированы изображения револьвера и Техаса Гравировка на старых процессорных кристаллах — дело не новое. Но до сих пор обнаруживаются CPU, где о таких изображениях общественность ранее не знала. К примеру, на кристалле старого AMD Athlon K7 их 1999 года нашли изображение пистолета.  Если точнее, изоб...

В России началось массовое производство авиабомб ФАБ-3000 — Минобороны Трёхтонная фугасная бомба — это вам не шутки-прибаутки с дронами за $500.

Украина запустила массовое производство роботизированных турелей «ШаБля» Украинские власти заключил государственный контракт по закупке роботизированных пулемётов.

Массовое производство тяжёлых ударных БПЛА С-70 "Охотник" начнётся во второй половине 2024 года Представители ВПК сообщили, что беспилотник С-70 "Охотник" пойдёт в серию во второй половине 2024 года

Китайская EHang получила разрешение на массовое производство летающих такси Последний этап пройден, можно начинать фактические продажи.

Samsung разработала самую быструю в отрасли память LPDDR5X со скоростью 10,7 Гбит/с Массовое производство планируется начать во второй половине года.

В бенчмарке засветился процессор Qualcomm Snapdragon X Plus По информации западных инсайдеров, компания Microsoft готовится к мероприятию по анонсу своих новых планшетов и ноутбуков на базе ARM-процессоров — событие пройдёт 20 мая, а основным партнёром в этом вопросе выступит компания Qualcomm, которая разрабатывает мобильные процесс...

1-нм техпроцесс к 2027 году: Intel представила амбициозную дорожную карту Недавно Intel провела мероприятие Foundry Direct Connect, на котором были представлены новые интересные разработки в дорожной карте производства чипов и стратегии производства.

Шойгу подвёл итоги 2023 года по наращиванию военного производства и поставил новые задачи ОПК В 2024 году ставка оборонных предприятий будет сделана на производство высокоточных боеприпасов.

В Челябинске начнут производить новейшие высокотемпературные двигатели Изображение иллюстративное В третьем квартале текущего года в России будет запущено массовое производство новейших двигателей, устойчиво работающих при температуре более 100 градусов. Данные моторы предназначены для применения в технике и механизмах, функционирующих в экстр...

Костромская областная аптечная база запустила новую линию производства лекарств Костромская областная аптечная база внедрила новую линию по производству лекарственных препаратов, увеличив перечень выпускаемых медикаментов с 90 до 250 позиций, пишет РИА Новости.

Сделано в Казахстане. Автомобили Skoda теперь будут собирать рядом с Россией Казахстанская торгово-промышленная группа Allur займется производством автомобилей Skoda, причем контракт с Volkswagen Group уже подписан. До конца года с конвейера сойдут первые Skoda казахстанской сборки. Но пока что не сообщается, где именно они будут собираться и о ...

Процессор Huawei Kirin 9000S отстает от оригинального Kirin 9000 В этом нет ничего удивительно, учитывая использование менее продвинутого 7-нм техпроцесса у нового процессора

БПЛА С-70 "Охотник": последняя информация о ТТХ и массовом производстве многообещающей новинки Вся актуальная информация о вооружении, характеристиках и скором появлении в войсках дрона С-70 "Охотник"

В России запустили массовое производство электродвигателей для беспилотных летательных аппаратов Сообщается, что продукция создаётся исключительно из отечественных комплектующих

В России планируют начать производить десятки тысяч электромобилей Атом к 2027 году Сообщается, что массовое производство отечественного электрокара начнётся уже в 2025 году

Российский «Аквариус» приступил к массовому производству 27-дюймовых отечественных IPS-мониторов К концу 2025 года ожидается выпуск 2.5 миллиона устройств за календарный год

Завтра в Ирландии начнётся выпуск продукции по технологии Intel 4 Это первый случай применения EUV-литографии в массовом производстве на территории Европы.

Bild: В России перешли на массовое производство тяжелой планирующей бомбы ФАБ-1500-М54 Дымовой "гриб" от подрыва такой бомбы подымается на 20 этажей над земной поверхностью

Липецкий механический завод запустил массовое производство корпусов для отечественных ЗРК Первый экземпляр, произведённый на предприятии уже отправлен заказчику

Смартфон Xiaomi 15 проходит внутреннее тестирование с Snapdragon 8 Gen 4 Также стоит ожидать, что предстоящие флагманы могут выйти на стадию массового производства уже в сентябре.

Китай планирует развить массовое производство человекоподобных роботов через два года Если помнишь древний мем про "боевых человекоподобных роботов" напиши об этом в каментах

В США усилили БМП M2 Bradley с помощью КАЗ Iron Fist, повысив выживаемость в 3 раза Похоже, речь о массовом производстве не идёт, поскольку модернизация оказалась слишком дорогой

Lada Iskra получит климат-контроль и отечественный электроусилитель Компания «Автоэлектроника» в своем годовом отчете рассказала, какие компоненты будет поставлять АвтоВАЗу. Самое интересное — контроллер климат-контроля для Lada Iskra и электроусилитель для этой же модели. О том, что у Iskra будет климат-контроль (его ...

Запущены все линии сборки АвтоВАЗа, но темпы уже не ударные. Vesta собирают в одну смену, а по выходным производство Vesta и Granta останавливается АвтоВАЗ снова запустил все три линии по производству автомобилей Lada, о чём сообщает инсайдерский паблик Avtograd News. При этом инсайдеры подтвердили, что производство Lada Vesta и Granta не будет вестись по субботами и воскресеньям, как и сообщалось ранее. После длин...

TSMC и Synopsys запускают в производство передовую платформу вычислительной литографии NVIDIA TSMC и Synopsys объявляют о запуске в производство платформы вычислительной литографии NVIDIA, которая будет ускорять производство и расширять границы физики для следующего поколения полупроводниковых чипов

AMD представила мобильные процессоры для устройств Copilot+ PC На ежегодной выставке Computex 2024 компания AMD представила мобильные процессоры линейки Ryzen AI 300, которые относятся к семейству APU Strix Point. Чипы включают в себя процессорные ядра на архитектуре Zen 5, графику RDNA 3.5 и нейронный процессор XDNA 2 (NPU) для ускоре...

Будущий Dimensity 9400 от MediaTek будет оснащен графическим процессором ARM Immortalis-G920 Первоначальные тесты предполагают небольшой прирост производительности графического процессора, но при этом результаты Geekbench намекают на значительное улучшение вычислительной мощности.

Подразделение Toyota надолго остановило производство из-за недавнего скандала Daihatsu Motor Co., дочерняя компания Toyota по производству компактных автомобилей, объявила о приостановке производства по крайней мере до конца января в связи со скандалом, связанным с проверкой безопасности. Поскольку внутренние поставки уже остановлены, компания по-преж...

Micron инвестирует рекордные $125 млрд в новые заводы по производству микросхем в США Байден также выделяет компании $6 млрд субсидий на развитие масштабного инвестпроекта по созданию передовых производств микросхем.

Эксперт предложил способ удешевить производство спутников Гендиректор аэрокосмической корпорации «Новый космос» предложил создать единые стандарты для компонентов спутников, чтобы снизить цену их производства

Huawei продала 30 миллионов Mate 60 за полгода Релиз серии смартфонов Mate 60 можно назвать условным началом восстановления позиций компании Huawei на локальном рынке Китае, и хотя у компании ещё предстоит долгий путь к её прежним глобальным продажам, её будущие релизы смартфонов имеют все шансы на головокружительный усп...

Apple перенесла дату анонса AirTag 2 Ранее известный аналитик Минг-Чи Куо утверждал, что массовое производство AirTag второго поколения начнется во второй половине следующего года. Это означало, что Apple могла представить свой обновленный трекер в конце 2024 или начале 2025 года. Однако теперь Куо скорректиров...

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

Президент АвтоВАЗа подтвердил: кроссовер на базе Lada Vesta в ближайшие два года Президент АвтоВАЗа Максим Соколов рассказал дополнительные подробности о планах российского автомобильного гиганта в ходе Баркемпа-2023 «Национальная технологическая революция 20.35». По словам топ-менеджера, в ближайшие два года мы можем увидеть кроссовер н...

iPhone 16 Pro получит чип Apple A18 Pro, улучшенный специально для работы искусственного интеллекта По словам Джеффа Пу из Haitong International Tech Research, Apple планирует внести изменения в чип A18 Pro специально для искусственного интеллекта. Пу также пишет, что Apple наращивает производство чипов A18 Pro раньше обычного. Согласно данным из цепочки поставок, мы ...

Betavolt создала аккумулятор, позволяющий использовать телефоны без подзарядки 50 лет Цель Betavolt - запустить технологию ядерной батареи в массовое производство и обеспечить ее использование в таких устройствах, как телефоны и беспилотники.

Intel представила процессоры поколения Lunar Lake Сегодня компания Intel поделилась подробностями о новом поколении мобильных процессоров Lunar Lake, которые будет использоваться в ноутбуках к концу 2024 года. Стоит сразу отметить, что поколение Lunar Lake представляет собой значительное обновление в сравнении с Meteor Lake...

PlayStation 5 Pro получит графический процессор AMD RDNA3 с 60 вычислительными блоками Однако другой источник утверждает, что консоль получит на четыре вычислительных блока меньше

PlayStation 5 Pro получит графический процессор AMD RDNA3 с 60 вычислительными блоками Однако другой источник утверждает, что консоль получит на четыре вычислительных блока меньше

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Qualcomm и MediaTek выбрали TSMC для производства SoC Dimensity 9400 и Snapdragon 8 Gen 4 Корпорация Samsung осталась не у дел

Процессоры Intel Xeon Cascade Lake снимают с производства Компания Intel объявила о снятии с производства процессоров Xeon Scalable (Cascade Lake) второго поколения

Xiaomi и Unisoc готовятся к производству собственных 4-нм процессоров Поговаривают, что Xiaomi может выпустить свои процессоры уже в текущем году, а Unisoc в 2026 году

Lada Granta в новом цвете «Борнео» доставляются к дилерам Через несколько дней после начала производства Lada Granta в цвете «Борнео» такие машины уже доставляются к дилерам, о чём сообщил ресурс «Лада.онлайн» со ссылкой на инсайдерский паблик Avtograd News в соцсети «ВКонтакте». Автомобили ...

iPhone 17 станет первым смартфоном Apple, разработка которого стартует за пределами Китая Компания Apple всё активнее будет переносить производство своих iPhone из Китая в Индию. Как сообщает аналитик Tianfeng International Минг-Чи Куо (Ming-Chi Kuo), базовый iPhone 17 станет первым смартфоном Apple в истории, разработка которого стартует за пределами Китая....

Фотографии китайского процессора HiSilicon Kirin 9000s производства Huawei озадачили экспертов Процессор стал основой для смартфона Mate 60 Pro

Intel Core Ultra 5 125H равен AMD Ryzen 7 7840HS в утечке бенчмарков Intel Core Ultra 5 125H - это процессор среднего ценового сегмента из семейства процессоров Intel нового поколения "Meteor Lake".

«Микрон» нашел партнеров для повышения импортозамещения на производстве микросхем Крупнейший производитель российской микроэлектроники «Микрон» подтвердил, что компания нашла двух партнеров для повышения уровня импортозамещения при производстве микросхем. Соглашение, которое подписано с Центральным конструкторским бюро «Дейтон»...

Apple Vision Pro использует систему чиплетов для процессора R1 Можно смело заявить, что шлем дополненной реальности Apple Vision Pro — одно из самых сложных технологических устройств на планете. Так что специалистам iFixit пришлось потратить немало времени и сил, чтобы разобрать устройство и изучить материнскую плату, на которой помимо ...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

АвтоВАЗ выпустит четыре новые модели Lada АвтоВАЗ планирует выпустить четыре новые модели в ближайшие два года, что подтвердил президент компании Максим Соколов. За два года на конвейере завода появятся следующие автомобили: бизнес-седан Lada Aura, массовый легковой автомобиль Lada Iskra, электромобиль e-Largus...

Huawei больше не может покупать чипы Qualcomm и Intel Согласно информации издания Reuters, правительство США отозвало лицензии Qualcomm и Intel на продажу чипов компании Huawei. Это значит, что компания Huawei больше не сможет официально покупать и использовать чипы от Qualcomm или Intel, что, по словам специалистов, практическ...

Intel и Microsoft объявили о сотрудничестве по микросхемам Intel объявила о сотрудничестве с Microsoft, планируя производство индивидуальных вычислительных чипов. Это партнерство ставит своей целью опережение основного конкурента, Taiwan Semiconductor Manufacturing Co (TSMC). Intel рассчитывает превзойти собственный внутренний срок ...

Dell может представить новый ноутбук XPS-16 с процессором AMD Dell может начать оснащать будущие ноутбуки XPS 16 процессорами AMD. Это будет первый случай с 2009 года, когда Dell выбрала AMD для одного из вариантов этой линейки ноутбуков. Это следует из утечки дорожной карты, в которой компания подробно описывает свои планы в отношении...

Tiny Corp готовит отдельные вычислительные системы ИИ на базе GPU AMD и NVIDIA Стартап Tiny Corp., основанный Джорджем Хотцем, решил отказаться от использования графических процессоров AMD Radeon в своей вычислительной системе TinyBox AI из-за проблем с прошивкой.

В «Технополис GS» произвели полмиллиона материнских плат В 2023 году одна из ведущих российских площадок массового контрактного производства электроники – АО «НПО «ЦТС» – изготовила 500 тыс. материнских плат.

Массовое производство AR-гарнитуры Apple Vision Pro начнется уже в декабре В сети появилась информация, согласно которой Apple готовится запустить массовое производство своего первого продукта в области смешанной реальности — Vision Pro.

Tesla начнет производство электротягачей Tesla Semi в Берлине Илон Маск заявил, что Tesla начнет производство электрических грузовиков Semi на заводе Gigafactory Berlin. Однако для компании важно начать массовое производство сначала в США.

В США запретят дроны производства DJI – политики говорят о рисках для национальной безопасности В США нет массовых производителей БПЛА, способных занять рыночную долю китайской DJI

Складной смартфон Pixel Fold 2 может появиться летом в 2024 году Pixel Fold 2 выйдет на рынок позднее в этом году: аналитики рассказали о размерах экранов и сроках массового производства

Lada Iskra будет стоить от 1 млн рублей. АвтоВАЗ собирается выпускать до 100 000 машин в год Lada Iskra будет стоить от 1 млн рублей, о чем рассказал журналистам президент компании Максим Соколов, хотя цена может и поменяться. «Если говорить о самом низком ценовом пороге, то сегодня это от миллиона. А "до" - мы будем ограничены "Вестой"...

АвтоВАЗ готовится к выпуску дешевой «Лады» — завод уже начал красить детали для Lada Iskra АвтоВАЗ начал в тестовом режиме окрашивать пластиковые элементы для Lada Iskra, о чем пишет Avtograd News в соцсети «ВКонтакте». В Производстве Пластмассовых Изделий ВАЗа в тестовом режиме начинают пробовать окрашивать пластиковые элементы для перспективного...

Российская компания «Протон-ПМ» за год увеличила производство ракетных двигателей на 25% В Перми прошло совещание по итогам работы АО «Протон-ПМ» (входит в «НПО Энергомаш» госкорпорации «Роскосмос») в 2023 году и планах на 2024 год, сообщает пресс-служба Правительства Пермского края. Заявлено, что по итогам прошлого года ...

Kia начала производство нового электрокара EV5 Новинка будет доступна в том числе с правым рулём.

Производство The Witcher 4 начнется уже в этом году, релиз ожидается в 2026 году Игра станет началом новой саги для франшизы.

Samsung раскрыла характеристики Exynos 1480 Совсем недавно компания Samsung выпустила смартфон Galaxy A55 — это произошло буквально в начале этого месяца. И, что самое важное, данный смартфон поставляется с процессором Exynos 1480, вот только производитель никаких деталей о данном процессоре не сообщил — просто в хара...

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Готовимся прощаться с RTX 4070 Ti и RTX 4080, но не с RTX 4070. Последняя будет существовать вместе с RTX 4070 Super Как мы недавно сообщали, массовое производство видеокарт RTX 4070 Ti и RTX 4080 уже завершено, а запасы иссякнут до конца года. При этом модель RTX 4070, как сообщается, никуда с рынка не денется.  RTX 4070 будет младшим решением в линейке, для которого выйдет вер...

Илон Маск планирует превратить автомобили Tesla в "облачную" вычислительную сеть Илон Маск и Tesla, похоже, готовят революционные изменения в автомобильной отрасли. Согласно последним заявлениям главы Tesla, компания рассматривает возможность трансформировать свои будущие электромобили в мобильные центры распределенной обработки данных, способные монетиз...

Сборщиков Lada Largus 2024 наградили за улучшения На площадке по сборке Lada Largus в Ижевске наградил сотрудников за лучшие кайдзен-проекты, которые были внедрены в период с января по февраль 2024 года. Об этом сообщил паблик «На заводе Ижевск»: «Среди награжденных — представители прессово...

ADATA XPG внедрила в производство скоростной DDR5 новую технологию для улучшения охлаждения Технология будет применяться при производстве модулей со скоростью 8000 МТ/с и выше.

Loongson переходит на 7-нм нормы – новый процессор 3A7000 выпустит китайская SMIC Производство стартует не раньше 2025 года

Завод, выпускающий Solaris в России, попросил Hyundai возобновить местное производство стального проката и двигателей «Автомобильный завод АГР», который возобновил выпуск машин под новым брендом Solaris в Санкт-Петербурге, обратился к партнёрам Hyundai с целью начала сотрудничества по поставке стального проката и двигателей. Издание The Korean Economic Daily подтверждает ин...

Производство композиционных материалов в Томске увеличилось в 1,2 раза Научно-производственная компания «Полимер-компаунд» в Томске увеличила производство композитных материалов благодаря поддержке Фонда развития промышленности. Новое отделение будет заниматься производством материалов для различных отраслей, таких как кабельная, электротехниче...

На Урале запустят два крупных производства на 1,2 тыс рабочих мест В ближайшие два года ожидается запуск новых производств Магнитогорского металлургического комбината. Общая стоимость двух крупных инвестиционных проектов, реализуемых в Челябинской области — 19 млрд руб.

Сбербанк уже выпускает собственные серверы Сбербанк начал заниматься разработкой и производством собственных серверов, о чем сообщили «Ведомостям» три источника из компаний-производителей вычислительной техники, а также подтвердил представитель банка. Это оборудование используется для внутренних целе...

Ученые достигли "революции" в производстве солнечных батарей Солнечная энергетика стоит на пороге прорыва. Исследователи разработали новый метод производства солнечных батарей с использованием перовскита — материала нового поколения, который может значительно превзойти традиционные кремниевые панели.

Новая «нержавейка» для производства водорода обещает доступное «зеленое» будущее Новое открытие, сделанное в рамках проекта «Суперсталь» Гонконгского университета под руководством профессора Мингсина Хуанга, представляет революционную нержавеющую сталь для производства водорода.

Ученые разработали жидкие кристаллы для роботов будущего Исследователи из Университета Джонса Хопкинса разработали новые жидкие кристаллы, которые можно контролировать с помощью света.

MediaTek хочет сделать Dimensity 9400 очень большим Если верить информации западных журналистов, компания MediaTek планирует представить новый процессор Dimensity 9400 с внушительными размерами кристалла. На самом деле специалисты заявляют, что новая система на кристалле будет иметь самые большие размеры среди всех систем, ко...

Arm запустит собственный ИИ ускоритель в массовое производство к 2025 году Японский технологический гигант в очередной раз меняет свой бизнес, на этот раз делая многомиллиардную ставку на технологии искусственного интеллекта.

Xiaomi вышла на прибыль Во время публикации финансовой отчетности руководство компании подтвердило планы по запуску массового производства электрокаров в первой половине 2024 года.

АвтоВАЗ начал выпускать Lada Vesta без подушек безопасности Как сообщил паблик Avtograd News, АвтоВАЗ с сегодняшнего дня начал выпускать Lada Vesta без подушек безопасности. Связано это с дефицитом этих систем безопасности. «АвтоВАЗ с 11 декабря 2023 года из-за нехватки комплектующих начал выпуск автомобилей Vesta без под...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Информатор сообщил о сроках начала производства iPhone 16 Выпуск комплектующих для новых моделей Apple стартует через несколько недель.

В TSMC заявили о начале производства узлов на базе N3P уже во второй половине 2024 года В 2025 году компания представит два новых узла, N3X и N2

Ростех заявил о начале подготовки к производству образцов истребителей Су-75 Checkmate «Ростех» заявил о начале подготовки к производству первых образцов новых российских истребителей Су-75 Checkmate.

Производство АвтоВАЗа, которое простаивало более восьми лет, теперь работает на полную. Фото и видео демонстрируют продукцию «ВИС-Авто» в корпусе бывшего ОПП Дочка АвтоВАЗа компания «ВИС-Авто» трудится не покладая рук, о чем пишет инсайдерский паблик Avtograd News в соцсети «ВКонтакте». Компания «ВИС-Авто» окончательно обосновалась в корпусе бывшего ОПП ВАЗа. Корпус бывшего ОПП скоро обрет...

Малайзия изъявила желание стать мировым центром производства процессоров Премьер-министр Малайзии Анвар Ибрагим объявил о начале реализации Национальной полупроводниковой стратегии, в рамках которой страна намерена стать мировым центром производства процессоров и в целом одним из передовых отраслевых хабов.

АвтоВАЗ запустил производство Lada Vesta c «автоматом» и 1,8-литровым мотором и «автоматом» АвтоВАЗ сегодня официально сообщил о начале серийного производства Lada Vesta с новейшим мотором 1.8 EVO мощностью 122 л.с. и автоматической трансмиссией, под которой подразумевается вариатор китайского партнера. Завод сообщает, что установка новой связки повлекла за со...

Производство 2-нанометровых чипов обойдётся на 50% дороже 3-нанометровой технологии Возможность производства нового поколения пластин с поддержкой 2 нм может стоить примерно 28 миллиардов долларов

Intel открыла новый завод по производству современной упаковки в Нью-Мексико Fab 9 является частью ранее объявленных инвестиций Intel в размере 3,5 миллиарда долларов в оснащение своих предприятий в Нью-Мексико для производства передовых технологий полупроводниковой упаковки.

Процессоры Ryzen 7 8700G и Ryzen 5 8600G протестировали в Geekbench В базе данных бенчмарка Geekbench были замечены два новых десктопных процессора семейства AMD Ryzen 8000G на архитектуре Zen 4 с производительной интегрированной графикой

В России запустят массовое производство электромобилей «Атом» в 2025 году Компания «Камаз» запустит массовое производство электромобилей «Атом» в 2025 году

HUAWEI представила новый 5-нм чип Kirin 9006C Компания стремительно набирает обороты в производстве собственных процессоров для мобильных устройств.

NVIDIA прекратила производство видеокарт GTX 16 Сегодня появилась информация о том, что компания NVIDIA официально завершила производство своих графических процессоров серии GeForce GTX 16, последних из линейки GTX для массового рынка. Собственно, ещё в декабре прошлого года появилась информация от инсайдеров о том, что N...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Frankfurter Allgemeine: Россия заметно превосходит Украину в разработке и производстве разных БПЛА Согласно западным средствам массовой информации, Россия постоянно совершенствует свой арсенал беспилотников в ходе Спецоперации

Начинается работа над подготовкой операторов для БПЛА С-70 Охотник В скором времени Ростех планирует запустить массовое производство данных тяжёлых ударных дронов

В скором времени в России будет запущено массовое производство морских дронов-камикадзе На сегодняшний день ББКН "Одуванчик" проходит испытание в зоне Спецоперации на Украине

Nvidia не хочет снижения цен на видеокарты RTX 40. Компания снизит производство GPU в преддверии запуска RTX 50 и высвободит мощности для H100 Компания Nvidia якобы уже готовится сокращать поставки GPU поколения RTX 40 в преддверии выхода линейки RTX 50.  Ресурс Quazarzone говорит, что Nvidia уже сообщила своим партнёрам, что поставки графических процессоров резко сократятся. Правда, не уточняется, каких...

СМИ: Apple активно разрабатывает складной iPhone Статья от The Information утверждает, что у Apple есть минимум два прототипа складного iPhone. Компания начала работать над ним в 2018 году, но приостановила проект в 2020, сосредоточившись на складном iPad. Сейчас Apple продолжает работу над складным iPhone, стремясь сделат...

Это же каким мощным мог бы быть такой процессор AMD в играх. Пользователь из Китая получил странный CPU Ryzen 9 7950X3D со 192 МБ кеш-памяти L3 Процессор Ryzen 9 7950X3D, как и все остальные модели X3D, имеет дополнительную микросхему памяти V-Cache объёмом 64 МБ. Но один счастливчик в Китае раздобыл где-то экземпляр, который, похоже, оснащён 128 МБ такой кеш-памяти!  По крайней мере именно так указывает ...

КамАЗ упростил производство деталей кабины K5: российские лонжероны не уступают немецким от Daimler Truck AG КамАЗ в рамках стратегии импортозамещения решил оптимизировать и улучшить процесс производства лонжеронов кабины флагманского семейства K5. Ранее до 2023 года эти компоненты поставлялись готовыми от Daimler Truck AG и производились немцами методом горячей листовой штамп...

Bloomberg: Чипы M4 с улучшенным ИИ появятся во всей линейке Mac от Apple Производство новых чипов должно начаться в ближайшее время, и они появятся в конце 2024 - начале 2025 года, сообщает Bloomberg.

Великобритания начала производство новых мобильных бронетранспортеров Jackal 3 Планируется выпустить 70 единиц уже к лету текущего года

CES 2024: Acer c ноутбуками с интегрированным ИИ и процессорами Intel Core Ultra Acer расширила семейство тонких и легких ноутбуков Swift новыми процессорами Intel Core Ultra с первым нейронным процессором Intel (NPU) и встроенными возможностями ускорения искусственного интеллекта.

Как 3D принтеры используют для производства дронов? (Часть 1) Проектирование и создание дронов возможно с помощью 3D печати и 3D моделирования. Где используются беспилотники, из каких элементов состоят дроны и какой пластик выбрать для изготовления разных деталей? В этой статье вы узнаете все о процессе создания беспилотников, выборе п...

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

Оперативная память подорожала на 20% Источники сообщают, что эффект от сокращения производства чипов памяти начал проявляться на рынках массового потребления, поскольку цены на память DDR5 резко выросли. После нескольких финансовых кварталов, когда крупные производители теряли миллиарды долларов, индустрия чипо...

Калининградский производитель электроники GS Group объявляет о переходе на ODM-производство Компания GS Group из Калининграда анонсирует свою новую стратегию ODM-производства, включающую полный цикл услуг от разработки до производства электроники, а также поддержку в регистрации продукции для государственных закупок.

"Росэлектроника" открывает новую страницу в производстве управляющих модулей для беспилотников Компания "Росэлектроника" запустила серийное производство модулей управления для беспилотников, включая навигационные модули и контроллеры двигателя, совместимые с множеством протоколов передачи данных.

AMD объявляет о массовом производстве специализированного ускорителя Alveo V80 Этот ускоритель предназначен для обслуживания средних рабочих нагрузок, требующих большого количества памяти.

Росатом, «Аквариус» и GS Group планируют выпускать в России печатные платы Источник на рынке радиоэлектроники рассказал изданию «Коммерсантъ», что НПО «Критические информационные системы» (входит в Росатом), группа «Аквариус» и холдинг GS Group планируют создать совместное предприятие по производству печатны...

Samsung обеспокоена возможностью нехватки воды для производства чипов в будущем Samsung Semiconductor обеспокоена наличием в будущем воды, необходимой для производства чипов.

АвтоВАЗ ставит Lada Iskra на конвейер АвтоВАЗ планомерно ведет подготовительные работы по запуску серийного производства новейшей Lada Iskra. Как сообщил источник ресурса «Лада.онлайн», сейчас на заводе началась отработка всей технологической цепочки производства Lada Iskra. «Будет отраба...

GeForce RTX 5090 получит 448-битнаую шину и 28 ГБ памяти Очередная утечка показывает новую конфигурацию памяти будущего флагмана, а также сообщает, что кристалл видеокарты будет иметь монолитный дизайн.

LG Display запускает производство Tandem OLED дисплеев для ноутбуков LG Display начинает массовое производство двуслойных 13-дюймовых панелей Tandem OLED для ноутбуков, обещающих удвоенный срок службы, утроенную яркость и сниженное на 40% энергопотребление по сравнению с обычными OLED-экранами.

Samsung ускоряет планы по изготовлению твердотельных ... Компания Samsung ускорила свои планы по запуску массового производства твердотельных аккумуляторов. Сначала это было запланировано на 2027 год, но последние события указывают на более ранние сроки.

Samsung ускоряет планы по изготовлению твердотельных ... Компания Samsung ускорила свои планы по запуску массового производства твердотельных аккумуляторов. Сначала это было запланировано на 2027 год, но последние события указывают на более ранние сроки.

Новый завод по производству шлифовальных станков откроется в Липецке Акционерное общество «РТ-Станкоинструмент» на XXVII Петербургском международном экономическом форуме заключило соглашение о размещении производства шлифовальных станков в особой экономической зоне «Липецк».

Главный конкурент Tesla — BYD — обратил внимание на Таиланд и Индонезию BYD, китайский гигант по производству электромобилей, ускоряет свое расширение в Юго-Восточной Азии: пробное производство стартует в Таиланде, а в Индонезии начнется строительство нового завода.

В Тольятти начнется выпуск «мягкой» панели приборов для Lada Vesta. Производство перенесли из Ижевска в рекордные сроки АвтоВАЗ сообщил о завершении проекта переноса производства Lada Vesta из Ижевска в Тольятти, последним этапом переезда стал перенос технологии изготовления так называемой «мягкой» панели приборов. На это ушло всего 6 недель. Новое оборудование установили в Т...

[Перевод] От пластины до ПК. Внутри лаборатории Intel Мир полупроводников сравним с мутными водами. Многие знают, что такое процессор и как он работает, однако с этапами его производства и применяемыми технологиями знакомы далеко не все. И потому когда меня пригласили на завод Intel в штате Пенанг (Малайзия), чтобы постичь иску...

ТАСС: в США начнут производство гиперзвукового оружия в течение года В США назвали сроки запуска производства гиперзвукового оружия

Reuters: Huawei может ограничить производство смартфонов Huawei направит мощности для производства высококачественных чипов искусственного интеллекта.

Fujitsu разрабатывает процессор Monaka для ускорения вычислений искусственного интеллекта и ЦОД Fujitsu активно работает над созданием нового процессора под названием Monaka, разработанного для усиления вычислительных возможностей в области ИИ, высокопроизводительных вычислений и ЦОД

Toshiba показала жёсткий диск объёмом 32 Тб, обещая начать массовое производство уже в 2025 году Реальных альтернатив для традиционных жёстких дисков пока нет

Micron начала производство своих первых микросхем GDDR7 Сообщается, что данные чипы могут быть установлены в видеокарты нового поколения

Google перевезёт производство смартфонов Pixel в Индию Компания Google готовится к производству своих смартфонов Pixel в Индии.

NVIDIA намерена продолжить производство GeForce RTX 3060 NVIDIA не собирается снимать с производства более старый GPU

У АвтоВАЗа есть свой 1,4-литровый турбированный 163-сильный мотор, но завод не видит спроса на такие Lada АвтоВАЗ прокомментировал судьбу турбированного двигателя, разработанного несколько лет назад инженерами тольяттинского автогиганта. На заводе заявили, что 1,4-литровый агрегат мощностью 163 л.с. и крутящим моментом 240 Нм пока не запускают в массовое производство, несмо...

Huawei и SMIC разработали технологию SAQP для производства 5-нм чипов Компании Huawei и SMIC разработали технику SAQP, которая позволяет достичь уровня 5 нм в производстве полупроводников

Российские учёные упростили производство противовирусных препаратов В России улучшили технологию производства противовирусных препаратов

В России создали камеры для производства Инженеры компании «Росэлектроника» разработали миниатюрные камеры для робототехники и производств

Cruise под угрозой штрафов, TuSimple покидает США и новый репортер в команде TC Transpo General Motors (GM) прекратила производство модели Bolt EV, оставив вопрос о будущем её использования компанией Cruise, в то время как TuSimple закрыла свою деятельность в США, и к команде TC Transpo присоединился репортер Шон О'Кейн.

Праздник для покупателей Lada Granta: новые цвета, 16-клапанный мотор и мультимедийная система EnjoY Pro Завод АвтоВАЗ согласовал производственный план на октябрь этого года, когда долгожданные изменения придут в линейку Lada Granta. Об этом сообщает паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте» "Обогащение" цветовой гаммы и вариантов ...

Когда выйдет Samsung Galaxy S24 и каким он будет Мало кто сомневается, что Samsung совсем скоро выкатит новый смартфон серии Galaxy — S24. Можно даже с большой долей вероятности угадать, что выйдет он в начале следующего года, то есть через буквально пару месяцев. Но это только предположения, лишенные какой-то основ...

«Ростех» сообщил о запуске серийного производства электродвигателей для БПЛА Заниматься производством будет концерн «Радиоэлектронные технологии».

Military Watch: Россия возобновила производство газотурбинных двигателей для танков Т-80БВМ От американских "наблюдателей" не укрылся факт возобновления производства двигателей ГТД-1250

Китайская компания поможет в производстве Aurus в Санкт-Петербурге Китайская компания присоединится к производству автомобилей Aurus на заводе Toyota в Санкт-Петербурге, возможно, в партнерстве с FAW.

Российские учёные придумали, как удешевить производство авиационных деталей Пермские учёные разработали отливочные модели для производства авиационных деталей

В Ижевске откроют производство оснастки для изготовления корпусных автомобилей LADA Largus Речь идёт о производстве крупных штампов.

В России «возродят» советскую технологию производства микросхем Российские учёные из Новосибирска запустят советскую технологию, которая удешевит производство микросхем

Стартап Gresco Power по производству электростанций на древесном газе обанкротился Ответственный региональный суд в Санкт-Пельтене уже открыл производство по реструктуризации.

Nike подала в суд на New Balance и Skechers из-за патента на производство верхней части кроссовок В New Balance заявили, что у Nike «нет исключительного права на традиционные методы производства обуви».

В 2026 году начнётся производство суперкара Quarkus P3 Третья разработка французской компании может дойти до стадии производства

В России улучшили производство оптоволокна Учёные из компании «Швабе» разработали улучшения для производства оптоволоконных сетей

Panasonic избавилась от бизнеса по производству автокомпонентов Но не полностью, да и производство тяговых аккумуляторов не затронуто.

В Барнауле возобновлено производство токарных станков с ЧПУ Без производства средств производства никак и никуда.

Ростех создает более 20 «умных» цехов по производству авиадвигателей для гражданской авиации Объединенная двигателестроительная корпорация (входит в Госкорпорацию Ростех) создает на рыбинском предприятии «ОДК-Сатурн» систему управления производством «Умный цех» на основе Big Data и промышленного интернета вещей. В единую информационную систему будут объединены 23 це...

АвтоВАЗ опроверг информацию о выпуске Lada Vesta без подушек безопасности АвтоВАЗ опроверг ранее опубликованную информацию о том, что завод начал выпускать автомобили Lada Vesta без подушек безопасности. Об этом «Ъ-Волга» сообщили в пресс-службе предприятия. «Подтверждаем информацию о возобновлении производства в две смены. ...

Huawei выпустила ноутбук с фирменным чипом Kirin 9006C Ноутбук Qingyun L540 - это новейшая разработка Huawei с процессором собственного производства Kirin и с двумя вариантами операционной системы UOS и Galaxy Kirin на базе Linux.

Будущие MacBook получат собственный чип 5G от Apple Apple надеется снизить зависимость от Qualcomm при производстве модемов

Nissan рассказала о грандиозных планах: твердотельные аккумуляторы, новые завод и экспорт машин Dongfeng Nissan на мировые рынка Совместное предприятие Dongfeng Nissan официально объявило о результатах продаж за декабрь 2023 года: совокупный объем продаж составил 95 204 единицы, что на 42,8% больше, чем в прошлом году. При этом на праздники было получено 12 006 заказов. Nissan параллельно разраба...

Apple анонсирует новые чипы M3 с обновленными MacBook Pro и iMac На онлайн-мероприятии генеральный директор Apple Тим Кук анонсировал новое семейство процессоров под названием M3 и серию компьютеров Mac с новейшими процессорами Apple Silicon.

Lada Niva Travel Black в цвете «Несси» с мультимедиа показали со всех сторон. Дилер предлагает такие машины дешевле 1,5 млн рублей Новые внедорожники Lada Niva Travel Black в цвете «Несси» с мультимедийно системой уже начали отгружать дилерам, которые установили цену на отметке 1 466 000 рублей. Ранее сообщалось, что АвтоВАЗ начнет массовое производство внедорожников Lada Niva Travel с ...

ProLogium открыла первый завод по массовому производству твердотельных батарей для электромобилей Тайваньская компания ProLogium открыла завод по производству твердотельных батарей для электромобилей. Mercedes-Benz планирует использовать эти батареи в своих автомобилях к 2030 году.

Samsung и LG получили от Apple разрешение на запуск массового производства экранов для iPhone 16 По данным The Elec, компания Samsung Display будет поставлять OLED-экраны для всех четырёх моделей серии iPhone 16, а LG Display — только для моделей iPhone 16 Pro.

Apple отказалась от знаменитых чехлов FineWoven после массовых жалоб Линейка чехлов FineWoven для iPhone и ремешков для Apple Watch с экологически чистой альтернативе коже оказалось не такой долговечной. Слухи говорят о том, что Apple прекращает производство из-за жалоб пользователей на долговечность и «приятность» материала.

Минобороны получит конечный вариант дрона-разведчика "Ловкий" для испытаний в зоне СВО Компания-разработчик проделала некоторые доработки согласно отзывам военных и готова к массовому производству продукта

Китай обнародовал план массового производства человекоподобных роботов Согласно плану, опубликованному Министерством промышленности и информационных технологий (MIIT) Китая, эта страна готовится реализовать амбициозный проект массового производства человекообразных роботов в течение двух лет.

Производство грузовиков на бывшем российском заводе Volvo разгоняется: уже выпускают по 9 машин в сутки В Калуге на заводе АМО (это бывший российский завод Volvo) начали выпускать больше машин: если поначалу производили около 5 машин в сутки, то сейчас объем производства достигает 9 машин в сутки, а в ближайших планах довести объем до 12 машин в сутки. На предприятии раб...

У Toyota появился новый кроссовер: компания запустила в производство Toyota C-HR второго поколения Toyota сообщила о начале производства кроссовера C-HR второго поколения. Выпускать автомобиль будут на предприятии Toyota в турецкой Сакарье – это первый европейский центр Toyota по производству гибридных автомобилей. Производственная линия рассчитана на выпуск 75...

Производство OLED-панелей для iPad Pro приведет к изменениям в iPhone 16 Apple сделала значительные улучшения в своей технологии производства OLED-панелей для своих устройств, включая iPad Pro и iPhone.

BYD планирует построить завод для производства электромобилей в Северной Америке Производство автомобилей в Мексике удешевит для BYD продажу автомобилей в США.

OpenAI рассматривает возможность производства собственных чипов искусственного интеллекта Компания, разработавшая ChatGPT, ищет альтернативы ускорителям Nvidia, в том числе производство собственных чипов.

Китайские биоинженеры создали технологию производства риса с куриным белком Разработчики утверждают, их проект открывает альтернативу производству мяса.

Intel: правительство США выделяет $8,5 млрд на финансирование производства чипов США хотят сохранить лидирующие позиции в производстве чипов искусственного интеллекта

Россия готовится к освоению производства 28-нм чипов до 2027 года и 14-нм чипов до 2030 года Министерство промышленности и торговли Российской Федерации планирует, что к 2027 году будет освоено производство 28-нм чипов

NVIDIA снимает с производства видеокарты 16-й серии Ожидается, что производство этих карточек остановится в первом квартале 2024 года. Читать полную статью

Samsung хочет опередить Intel и первой выпустить частично стеклянные процессоры. Компания начала разработку стеклянных подложек для своих продуктов Компания Samsung решила ввязаться в гонку с Intel и тоже перейти на использование стеклянных подложек в своих чипах.   Как сообщается, дочерней компании Samsung Electro-Mechanics было поручено начать научно-исследовательские разработки в этом направлении. При этом...

Президент АвтоВАЗа лично проконтролировал производство 122-сильного мотора 1.8 EVO для автомобилей Lada Президент АвтоВАЗ Максим Соколов и исполнительный вице-президент по производству Михаил Рябов посетили производство новых двигателей. В ходе визита профильные руководители и специалисты продемонстрировали сборку и рассказали о перспективах развития двигателей 1.8 EVO, к...

В Китае могут запустить массовое производство HBM памяти к 2026 году Такая память используется преимущественно в специализированных ускорителях вычислений, предназначенных для технологий искусственного интеллекта

ASML и TSMC могут дистанционно отключить производство чипов при необходимости Похоже, что такой способ был специально придуман на случай перехода производства под контроль Китая.

В РФ запустят производство оборудования 5G Производством оборудования для внедрения мобильных сетей пятого поколения в России займется дочерняя компания МТС. 

В России начали производство электрокроссовера Evolute i-Sky Компания «Моторинвест» объявила о старте серийного производства на заводе в Липецке электрического кроссовера Evolute i-Sky

LG переходит на OLED и прекращает производство ЖК-дисплеев В рамках стратегического решения, компания LG Electronics объявила о прекращении производства ЖК-дисплеев и полном переходе на технологию OLED.

Брак, шлак, две утяжины. Как «построить» производство и не рехнуться. Серия 1 Как не дать производству тебя нагреть и заставить его сделать качественно. Инсайд от производственника и чек-лист от профессионального заказчика.  Все про шлак и брак

Глава Intel раскрыл подробности производства TSMC: N3 для Arrow Lake и N3B для Lunar Lake Глава Intel, Пэт Гелсингер, раскрыл некоторые подробности о производстве TSMC

NVIDIA прекратила производство графических процессоров серии GeForce GTX 16 Отчасти это связано с представлением более новых моделей, включая RTX 3050, которые имеют более урезанные характеристики

JEDEC согласился уменьшить толщину микросхем HBM4 В настоящее время JEDEC разрабатывает стандарты для 6-го поколения памяти с высокой пропускной способностью (AKA HBM4) - 12- и 16-слойные DRAM-конструкции должны выйти в массовое производство в 2026 году

AMD проделала хорошую работу: 6-ядерный Ryzen 5 8500G обошел Ryzen 5 5600G на 36% в однопоточном тесте Появился первый результат теста процессора Ryzen 5 8500G семейства Hawk Point в Geekbench, и результаты хорошие. Особенно для CPU, который пока не представлен официально. В Geekbench 5 Ryzen 5 8500G набрал 1965 баллов в однопоточном тесте и 8768 баллов в многопоточном....

«Близится день начала массового производства». Инсайдеры сообщили, что АвтоВАЗ собрал новую партию внедорожников Niva с ABS Пока АвтоВАЗа оснащает антиблокировочными системами тормозов только Lada Granta, но скора эта опция появится в серийных Lada Niva. Собственно, такие машины уже выпускают, но пока в единичных количествах — идет отработка технологического процесса. «С конвейе...

Это завод Xiaomi по производству машин. Появились первые реальные фото и спутниковые снимки Первые реальные фотографии и спутниковый снимок автомобильного завода Xiaomi в Пекине опубликовали китайские СМИ. На снимке показаны несколько помещений, построенных на площади 720 000 квадратных метров. Строительство завода в Пекине началось в апреле прошлого года. Об...

Крышка от процессора в новом качестве Простой способ как обезопасить голый кристалл чипсета от сколов и улучшить охлаждение.

Supermicro выпустил серию X14 с будущей поддержкой Intel Xeon 6   Supermicro, Inc., поставщик комплексных ИТ-решений для облачных вычислений, искусственного интеллекта и машинного обучения, систем хранения данных и 5G/Edge, представил линейку серверов X14 с будущей поддержкой процессоров Intel Xeon 6. Сочетание модульной архитектуры Superm...

МГТУ им. Н. Э. Баумана внедрит производство квантовых процессоров для нового поколения ПК На новом кампусе МГТУ им. Н. Э. Баумана в Москве скоро стартует производство сверхпроводниковых квантовых процессоров для суперкомпьютеров, что станет первым таким предприятием в России.

В РФ построили завод по производству материнских плат – ICL расширит мощности до 1 млн единиц Первоначально производство сможет выпускать 300 тысяч материнских плат

В Индии остановили производство iPhone из-за погодных условий Производство устройств Apple в Индии стало продолжением стратегии компании по внедрению производства за пределами Китая

Нигерийский стартап TerraHaptix открывает в Абудже завод по производству дронов Нигерийский стартап TerraHaptix открывает в Абудже завод площадью в 1,4 тысячи кв.метров для производства автономных дронов и намеревается к 2030 году производить 100 000 дронов ежегодно.

НМГ создает кластер документального производства Национальная Медиа Группа объявляет о создании НМГ ДОК — кластера, специализирующегося на производстве документального контента для ТВ, онлайн-сервисов и платформ.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)