Социальные сети Рунета
Воскресенье, 30 июня 2024

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Первая приливная электростанция Dragon 12 начала снабжать электроэнергией Фарерские острова Шведская компания Minesto запустила плавучий генератор массой 28 тонн под названием Dragon 12. Система снабжает добытой в море электроэнергией потребителей на Фарерских островах.

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Intel нахваливает свой новый техпроцесс Intel 3, но процессоры Lunar Lake отдала на производство TSMC Вчера мы узнали, что TSMC приступила к массовому производству процессоров Intel Lunar Lake, основная плитка которых производится по техпроцессу 3 нм. При этом и сама Intel начала выпуск по нормам Intel 3, которые, как минимум согласно своему названию, должны быть сходны...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Нет, Huawei пока даже не собирается догонять конкурентов. Компания сейчас сосредоточена на техпроцессе 7 нм, так как у него ещё много проблем Компания Huawei сейчас имеет доступ к 7-нанометровому техпроцессу SMIC, и считается, что уже в это году SMIC освоит нормы 5 нм. При этом некоторые источники говорят, что и 3 нм не за горами. Однако сама Huawei, похоже, так не считает и говорит, что сейчас для неё новые ...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

В Японии будет освоен выпуск чипов по технологиям тоньше 2 нм При поддержке национальных инвесторов и государства.

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

На Lada Granta начали устанавливать «автомат» Toyota АвтоВАЗ все никак не освоит производство хотя бы вариаторных трансмиссий, но частные фирмы уже научились устанавливать на Lada Granta классические «автоматы». Причем речь идет об очень надежной четырехступенчатой трансмиссии Aisin. Изображение: Lada Передел...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Tesla начала снабжать пикапы Cybertruck новыми колпаками колёс, которые не протирают резину Знаю, что скоро тебя потираю…

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

TSMC планирует освоить передовые 2-нм и 1,4-нм технологические процессы к 2025 и 2027 годам Apple, скорее всего, станет первым заказчиком обоих узлов, если в ближайшие несколько лет не произойдет чего-то неожиданного.

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

AMD будет полагаться на передовые техпроцессы TSMC в будущем Чтобы не уступать Intel.

TSMC придётся к 2025 году найти новое место для строительства своего передового предприятия на Тайване Иначе к 2027 году она не успеет освоить выпуск 1,4-нм продукции.

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Материнские платы MSI теперь поддерживают до 256 ГБ оперативной памяти Сегодня компания MSI стала ещё одним производителем материнских плат, представившим поддержку оперативной памяти DDR5 объёмом до 256 ГБ на своих материнских платах с чипсетами серии Intel 700 и 600. Собственно, в прошлом году крупные производители памяти представили множеств...

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Snapdragon 8 Gen 4 будет работать на частоте свыше 4 ГГц? Новой платформе приписывают огромный прирост частоты и производительности Смартфоны на основе Snapdragon 8 Gen 4 могут оказаться очень недешевыми, но и производительность, согласно свежим данным, должна быть на высоте.  Чего стоит частота суперъядра в 4,2 ГГц, которая на фоне 3 ГГц у Snapdragon 8 Gen 3 выглядит феноменально. Как минимум...

Тонкая литиевая пленка на очках позволит любому человеку видеть в темноте Австралийские ученые сообщили о новом достижении в области систем ночного видения. Им удалось создать устройство в виде тонкой пленки, которое способно заменить существующие громоздкие приборы. Эффективность новинки пока оставляет желать лучшего, но перспективы весьма интере...

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Open AI предоставляет доступ к чат-боту ChatGPT без регистрации Open AI объявила о начале предоставления доступа к своему чат-боту ChatGPT без необходимости в регистрации. Это значительное изменение в политике доступа к этой передовой технологии искусственного интеллекта позволит всем заинтересованным лицам взаимодействовать с чат-ботом,...

Vivo X200 может стать первым смартфоном на процессоре MediaTek Dimensity 9400 Компания MediaTek разрабатывает Dimensity 9400 с использованием передового техпроцесса 3-нм второго поколения от TSMC, что должно дать чипсету высокую производительность и энергоэффективность

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Техпроцесс N4e позволит TSMC выпускать более экономичные чипы Они будут недорогими и массовыми.

В России обновили технологию производства оптоволокна Специалисты компании «Швабе», входящей в состав «Ростеха», провели модернизацию оборудования и подобрали идеальные режимы производства оптического волокна, которые обеспечили выпуск продукции с повышенным качеством и спецификациями. Это позволит применять отечественное оптов...

Французские учёные помогут японской компании Rapidus освоить 1-нм технологию К началу следующего десятилетия.

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Lada Niva, Vesta и Granta получили российские патрубки, шланги и сальники вместо немецких и турецких Как сообщает ТАСС со ссылкой на пресс-службу Министерства промышленности и энергетики Саратовской области, местный завод «Балаковорезинотехника» (БРТ) импортозаместил ряд компонентов для отечественных Lada Niva, Vesta и Granta. Так, для двигателя «Гра...

Помочь клиентам и себе: 6 курсов для психологов, которые хотят прокачать карьеру Эти программы позволят освоить новые методы терапии и развить гибкие навыки, необходимые для общения с клиентами.

Samsung представит Z Fold6 Slim в начале 2025 года До официального релиза Galaxy Z Fold6 и Z Flip6, если верить информации надёжных источников, осталось менее двух месяцев, но уже сегодня появилась интересная утечка о будущем устройстве под названием Z Fold6 Slim или Ultra — по данным специалистов, компания Samsung пока не о...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Google может перейти на чипсеты TSMC для Pixel 10 Телефоны Google Pixel могут претерпеть значительные изменения в 2025 году, поскольку, по некоторым данным, компания планирует перейти с Samsung Foundry на TSMC для своих процессоров Tensor. Инсайдер Revegnus заявил, что TSMC будет производить чипсеты для серии Pixel 10 и пос...

В России планируют освоить производство 28-нм чипов Министерство промышленности и торговли Российской Федерации планирует, что к 2027 году будет освоено производство 28-нм чипов на кремниевых пластинах. Говорится в «дорожной карте» развития индустрии, которую представил замглавы Министерства промышленности Василий Шпак в ра...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Tesla начала следить за водителями напрямую через камеру Tesla уже внедряет функцию «Предупреждение о сонливости водителя», которая использует камеру в салоне для контроля внимательности водителя. Это знаменует изменения в деятельности автопроизводителя, который ранее подвергался критике за то, что не уделяет особого внимания конт...

Яндекс научит школьников и педагогов пользоваться нейросетями Это позволит учителям повысить квалификацию и компетенции в работе с новыми технологиями, а ученикам — освоить новые IT-навыки.

Ранее такое сложно было представить, но Qualcomm может скопировать действия MediaTek. SoC Snapdragon 8 Gen 4 якобы не будет иметь малых ядер Недавно на рынок вышла SoC Dimensity 9300, у которой вообще нет энергоэффективных малых ядер Cortex-A. Похоже, Qualcomm собирается скопировать у MediaTek такой подход.  Согласно данным инсайдера Digital Chat Station, Snapdragon 8 Gen 4 тоже не будет иметь малых яд...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Первый в России электрический топливозаправщик начал работу в Шереметьево «Норильский никель» анонсировал создание нового производства на базе Медного завода в Норильске, где планируется внедрить передовые технологии, включая 3D-печать. Старший вице-президент компании Лариса Зелькова уточнила, что медный завод будет модернизирован для развития сов...

Snapdragon 8 Gen 5 будет дешевле Snapdragon 8 Gen 4? Qualcomm хочет вернуться к Samsung, как второму производителю SoC Однокристальная система Snapdragon 8 Gen 4 еще не была представлена, а в Сети появились новости о Snapdragon 8 Gen 5. Возможно, она будет дешевле Gen 4.  Суть в том, что высокая цена грядущей платформы обусловлена не только лишь какими-то желаниями Qualcomm. Важна...

TSMC потратит 4 млрд долларов на EUV-машины для 2-нм техпроцесса TSMC готовится к массовому производству по передовому 2-нм технологическому процессу, которое должно начаться в 2025 году. Важнейшим элементом подготовки является закупка оборудования для EUV-литографии. В течение следующих двух лет TSMC получит более 60 EUV-машин на сумму б...

Рамки экрана станут по-настоящему ультратонкими. Подробности о Xiaomi 15 и Xiaomi 15 Pro от надежного источника Несмотря на то, что смартфоны Xiaomi 14 и Xiaomi 14 Pro вышли на рынок лишь чуть больше месяца назад, инсайдер Digital Chat Station уже раскрыл подробности об их преемниках. У Xiaomi 14 Pro и так тонкая рамка, но в Xiaomi 15 Pro она станет еще тоньше По словам информат...

НИУ ВШЭ запустила проект по обучению преподавателей использованию ИИ Высшая школа экономики (ВШЭ) представила новый проект по обучению преподавателей использованию искусственного интеллекта (ИИ). Курс позволит преподавателям освоить современные методы ИИ, узнать о его применении в образовании и исследованиях.

Vivo X200 и X200 Pro могут стать первыми смартфонами на 3-нанометровой Dimensity 9400 Ожидается, что в октябре Qualcomm анонсирует чипсет Snapdragon 8 Gen 4, а MediaTek собирается представить Dimensity 9400. Инсайдер Digital Chat Station сообщил, что Vivo станет первым брендом, выпустившим смартфон на базе Dimensity 9400. По словам источника, Dimensity 9...

4 ГГц в смартфоне — реальность? Snapdragon 8 Gen 4 приписывают именно такую частоту для больших ядер Однокристальная система Snapdragon 8 Gen 4, возможно, будет работать на очень высокой для мобильной SoC частоте.    Ядрам Phoenix свежие слухи приписывают частоту в 4 ГГц! Для сравнения, у Snapdragon 8 Gen 3 максимальная частота для ядер — 3,3 ГГц,...

GlobalFoundries попала в ловушку старых техпроцессов и начала терять заказы клиентов По этой причине она теперь сконцентрируется на автомобильном сегменте.

Чип Snapdragon 8 Gen 4 покорит частоту 4.0 ГГц Qualcomm Snapdragon 8 Gen 4 будет производиться по обновленному 3-нм техпроцессу TSMC, поэтому он, скорее всего, будет демонстрировать повышенную энергоэффективность по сравнению со Snapdragon 8 Gen 3. Именно эти улучшения эффективности могут позволить производительным ядрам...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Samsung SDI начнёт снабжать Hyundai тяговыми батареями для электромобилей Но лишь с 2026 года.

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Ученые обнаружили новы свойства графена Ученые настроили тонкие чешуйки графита так, что он приобрел невиданные ранее свойства

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

«Росатом» освоил технологию изготовления нового оборудования для комплекса по переработке ТРО Руководитель проекта "КП РАО» АО «СвердНИИхиммаш" Сергей Коржавин: "СвердНИИхиммаш» разработал аналог с модернизацией, учитывая опыт эксплуатации прессового оборудования предыдущих модификаций. Данные решения позволили нам выпустить новый продукт на рынок России и не зависет...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

5-нанометровая SoC Kirin 9006C в ноутбуке Huawei более чем вдвое уступает Apple M1. Появились первые результаты тестов Однокристальная система Kirin 9006C, которая легла в основу ноутбука Huawei Qingyun L540, как показывают тесты, имеет достаточно скромную по сегодняшним меркам производительность.  В Geekbench 6 платформа набирает 1229 и 3577 баллов в однопоточном и многопоточном ...

Samsung будет выпускать по 4-нм технологии ускорители вычислений rebellions И снабжать их памятью типа HBM3E.

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

TDK делает ставку на кремниево-угольные аккумуляторы для смартфонов Они позволят делать более тонкие устройства.

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Samsung представит более тонкую версию складного смартфона Galaxy Z Fold 6 Тонкий аппарат Galaxy Z Fold 6 не получит стилуса S Pen

ASUS Zenbook S16 Copilot+ AI PC тоньше MacBook Pro и сделан из материала Ceraluminum Новый ASUS Zenbook S16 Copilot+ AI PC - один из самых тонких и легких ноутбуков на выставке Computex 2024

DigiTimes: TSMC начала массовое производство 3-нм кристаллов для новых процессоров Intel В частности, Intel выбрала 3-нм техпроцесс TSMC для производства вычислительной «плитки» будущих процессоров Core Ultra 200 семейства Lunar Lake.

Panasonic будет снабжать своими тяговыми аккумуляторами Mazda и Subaru Североамериканский рынок не оправдывает ожиданий.

AMD покажет всю «суперкомпьютерную» мощь в Германии: «Hunter» и «Herder» Сотрудничество AMD с HPC и Штутгартским университетом позволило начать производство двух передовых суперкомпьютеров: «Hunter», запланированный на 2025 год, и «Herder», экзафлопсное чудо, дебют которого намечен на 2027 год.

Lenovo выпустила передовой монитор ThinkVision 27 3D В начале этого года на выставке IFA 2023 компания Lenovo продемонстрировала монитор ThinkVision 27 3D.

В сети опубликовал рендеры будущих Apple Watch Series X Рендеры, основанные на слухах, показывают, что Series X может стать тоньше на 10-15% и иметь более тонкие рамки

Samsung с сентября начнёт снабжать NVIDIA 12-ярусными стеками HBM3E Глава американской компании уже одобрил это решение.

Предприятие Ростеха освоило выпуск комплектующих для аддитивного производства Обнинское научно-производственное предприятие «Технология» имени А. Г. Ромашина выпускает комплектующие из технической керамики для оборудования, применяемого в производстве металлических порошков для промышленных 3D-принтеров. Мощности предприятия позволят закрыть дефицит, ...

Первый тизер HONOR Magic V3 — складной флагман станет ещё тоньше Уже год HONOR Magic V2 носит титул самого тонкого смартфона с гибким дисплеем, но скоро это изменится.

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

Intel и Qualcomm лишились права снабжать Huawei своими процессорами Такую возможность они сохраняли со времён президентства Дональда Трампа.

Reuters: В Китае АЭС снабжает паром промышленные предприятия В Китае выделяемое АЭС тепло используют для работы нефтехимических заводов.

Micron и SK hynix могли получить от NVIDIA до $1,5 млрд аванса в счёт будущих поставок HBM3 Samsung тоже готова снабжать NVIDIA такой памятью.

Оперативные данные разведки позволили "Искандерам" поразить крупный эшелон бронетехники ВСУ Добраться до передовой теперь могут не только лишь все танки ВСУ

Высокий процент брака и плохая энергоэффективность. У Samsung большие проблемы с 3-нм техпроцессом Ранее ходили слухи, что Samsung добилась успеха со своими 3-нм техпроцессами, а разработка 2-нм техпроцессов продвигается гладко. Но, по данным Businesskorea, 3-нм техпроцесс Samsung Foundry по-прежнему значительно уступает технологиям TSMC как по проценту бра...

Apple выпустит обновленные iPhone, MacBook Pro и Apple Watch в ближайшем будущем iPad Pro на платформе M4 стал началом нового класса более легких и тонких устройств

Недорогой электромобиль Honda N-Van e способен снабжать электроэнергией дом в экстренных ситуациях Добротный помощник в хозяйстве за сумму около $10 000.

Rivian выпускает обновлённые автомобили, в которых будут внедрены передовые чипы от Nvidia Технологии Зелёного гиганта позволят компании улучшить качество автопилота и других функций, связанных с искусственным интеллектом

Ученые нашли новый способ скручивать тонкие нанолисты в свитки Исследователи из Токийского столичного университета придумали новый способ скручивания тонких листов атомов в «наносвитки».

NYT: США теряют возможность снабжать Украину ракетами для ЗРК Patriot К реальным конфликтам высокой интенсивности на Западе не готовились и сокращали производство.

CATL будет снабжать китайские электробусы Yutong батареей с ресурсом 1,5 млн км пробега Гарантийный срок достигнет 15 лет.

Передовые сканеры High-NA EUV компании ASML начал получать её второй крупный клиент Первым была корпорация Intel.

Ученые считают, что эпитаксиальный графен может заменить собой кремний в производстве чипов Новый материал позволит ускорить эволюцию передовых технологий и снизить при этом себестоимость самих изделий

BYD не собирается поставлять электромобили в США, но хотела бы снабжать батареями местных автопроизводителей Американский рынок крупнейший китайский производитель не считает открытым.

Инди-хоррор Lethal Company собирает по 115 тысяч «онлайна»: игроки в полном восторге, разработчик в недоумении Девелопер-одиночка уже пообещал снабжать игру новым контентом.

Смартфон Tecno Spark 20 Pro+: тонкий изящный среднеуровневый аппарат В России Tecno Spark 20 Pro+ уже продается, и даже в официальной рознице его стоимость начинается от 18-19 тысяч рублей. Смартфон условно среднего уровня: аппаратная платформа MediaTek слабая, зато AMOLED-экран отличный; камеры и автономность не впечатляют. Никаких передовых...

Гибкая раскладушка Galaxy Z Flip 6 получит более тонкие рамки вокруг дисплея Согласно новым слухам, Samsung Galaxy Z Fold 6 получит новое соотношение сторон внешнего дисплея, а Galaxy Z Flip 6 будет оснащен более тонкими рамками.

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

МТС начала готовить специалистов в области цифровой моды ПАО «МТС» запускает всероссийскую образовательную программу по цифровой моде на онлайн-платформе «Поколение М». За короткий срок школьники получат базовые навыки дизайнера цифровой одежды, освоят технологии 3D-моделирования и узнают, как с помощью искусственного интеллекта М...

Micron добилась дополнительной 4% экономии энергии для передовой памяти LPDDR5x Оптимизация производства памяти LPDDR5x позволила Micron дополнительно сэкономить 4% энергии, что эквивалентно 1 часу дополнительного времени автономной работы смартфона.

Honor, а настолько тонким смартфоном нельзя будет порезаться? Складной Magic Vs2 будет тоньше и без того сверхтонкого Magic V2 В своё время производители смартфонов соревновались в том, кто выпустит самый тонкий аппарат. В этой гонке компании дошли до невероятных значений около 5 мм, а затем все резко перестали гнаться за тонкостью. Теперь, похоже, примерно этот же эта переживают складные смарт...

Представлен стильный и тонкий ноутбук Asus Zenbook 13 2024 Asus официально представила новый ноутбук Zenbook 13 2024, выделяющийся легким и тонким дизайном, процессором Intel Core Ultra 7 155U.

[Перевод] Чему разработчики ПО могут научиться у стоматологов Для начала немного обо мне: я и практикующий дантист, и разработчик ПО. Со вторника по четверг я пишу код, а с пятницы по воскресенье принимаю пациентов. До того, как стать дантистом, я работал в таких компаниях, как Allstate Insurance, Lockheed Martin и ICS. Освоив обе эти...

Китай начал целеноправлено вытеснять американские чипы из госсектора Пекин дал свой ответ на действия США по ограничению экспорта передовых чипов в КНР.

Qualcomm Snapdragon 8 Gen 4 засветился в AnTuTu Qualcomm готовит к выпуску свой новый процессор Snapdragon 8 Gen 4. Появившаяся в сети информация пролила свет на ход разработки этого передового чипсета, раскрывая интригующие подробности о его производительности и функциях. Ранее сообщалось, что Snapdragon 8 Gen 4…

Глава МИД Британии косвенно признал, для чего Запад на самом деле снабжает Украину вооружениями Всё настолько банально и просто, что даже печально. Печально, что инструмент не понимает, что он всего-навсего инструмент.

ВКС России "под запись" уничтожили мост в Марьинке и отрезали логистическое плечо для ВСУ Теперь снабжать украинский гарнизон в пригороде Донецка будет куда сложней

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Apple планирует сделать свои устройства еще тоньше После запуска iPad Pro M4, который называют самым тонким устройством Apple - всего 5,1 мм, технологический гигант намерен распространить эту "ультратонкую концепцию" на свои грядущие модели iPhone, MacBook Pro и Apple Watch.

Южная Корея вводит в эксплуатацию передовую РЛС GPS-240 Южная Корея добилась значительного прогресса в области морского наблюдения с запуском новой передовой РЛС.

Politico рассказали о поставках Белоруссией оружия Азербайджану По данным издания, белорусские власти снабжали Баку оружием с 2018 по 2020 год.

Bloomberg: Apple готовит сверхтонкие премиальные iPhone, MacBook и Watch Издание Bloomberg сообщает, что недавний выпуск iPad Pro с рекордно малой толщиной в 5 миллиметров – лишь начало возвращения старой тенденции, когда Apple стремилась сделать девайсы всё тоньше и тоньше.

Все автозаводы в России могут обеспечить российскими ABS и ESC «Итэлма» сообщила, какие компании заказывают у неё комплекты антиблокировочной системе тормозов и системы динамической стабилизации. «Мы являемся поставщиком ABS/ESC на все модели автомобилей, которые сегодня производит АвтоВАЗ. Также начали работу с Группой ГАЗ и ведем пе...

ASUS «втихую» начала строить суперкомпьютеры и вкладываться в ИИ Asus решила незаметно продвинуться в корпоративных технологиях. Недавно на выставке Computex компания представила суперкомпьютеры Taiwania 2 и 4. Не останавливаясь, Asus представила Formosa Foundation Model, передовую большую языковую модель.

Запрет на инвестиции в передовые китайские компании для резидентов США будет введён не ранее конца года До четвёртого августа будут проходить общественные слушания.

В Иране задались вопросом, стоит ли России оказывать поддержку Йемену против американской агрессии США и их сателлиты активно спонсируют и снабжают администрацию Зеленского в конфликте на Украине

Apple планирует выпустить тонкие версии iPhone, MacBook Pro и Apple Watch Серия iPhone 17 дебютирует не раньше следующего года, но подробности предполагаемых планов компании в отношении будущих устройств уже появились. По словам Марка Гурмана из Bloomberg, Apple работает над моделью iPhone 17 с более тонким корпусом, чем имеющиеся смартфоны компан...

Может позволить себе каждый: рейтинг лучших автомобилей за 100 тысяч рублей, по версии издания «Южный автомобиль» Издание «Южный автомобиль» составило рейтинг лучших автомобилей на вторичном рынке России, которые можно приобрести за 100 тысяч рублей. Первым упомянули  ВАЗ-2107. За такие деньги можно купить автомобиль, выпущенный в начале 2000-х годов или раньше, с ...

Google обеспечивает центры обработки данных геотермальной энергией Геотермальный проект уже сегодня снабжает центры обработки данных Google экологически чистой энергией

США готовят новые ограничения на экспорт передовых чипов в Китай Планируемые ограничения со стороны США фактически лишат Китай возможности производить передовые микросхемы с использованием мощностей TSMC и Samsung.

Новый премьер Словакии назвал «планы» Зеленского нереалистичными и отказался снабжать Украину оружием И чем быстрее к власти в странах Европы придут осознающие реальность политики, тем лучше и для Европы, и для Украины.

Нидерланды готовы отказывать Китаю в обслуживании оборудования ASML Ранее такого шага от нидерландской компании требовали Соединённые Штаты Америки, чтобы изолировать Китай от передовых технологий

На Украине в бою с Су-35 ВКС РФ сбит лечтик-ас Воздушных сил ВСУ с 385 боевыми вылетами По утверждению российских источников, это был настоящий и опытный оппонент, находившийся на передовой с самого начала СВО

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

BNN: Китай представил самую длинную в мире лопасть ветряной турбины Китай строит гигантские морские ветряные турбины, которые будут снабжать электроэнергией тысячи домохозяйств.

Google представила Lumiere – передовой ИИ для создания видео Google представила Lumiere, передовую модель для создания видео с помощью искусственного интеллекта. Lumiere отличается своей способностью создавать реалистичные или сюрреалистичные видеоклипы продолжительностью до пяти секунд каждый.

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

В закладки: 50+ полезных ссылок для начинающего QA-инженера Привет, Хабр! Меня зовут Кристина. Я работаю в Яндекс Практикуме ревьюером на курсе «Инженер по тестированию», ментором тестировщиков в Мастерской программирования и руководителем соревновательных видов IT-практики (багатонов, хакатонов и кейс-чемпионатов).В этой статье я по...

Американский оборонный гигант Northrop Grumman запускает партнерство с NVIDIA по ИИ Оборонная корпорация Northrop Grumman заключила соглашение с NVIDIA, ведущим разработчиком технологий искусственного интеллекта. Это партнерство позволит Northrop Grumman ускорить внедрение передовых ИИ-решений в свои продукты и услуги, повышая их эффективность и инновационн...

Apple, а столь тонкие iPad не будут гнуться «об воздух»? Толщина новых iPad Pro OLED будет рекордной для компании Уже в марте, как ожидается, Apple представит новые планшеты iPad Pro. Обновление будет крупнейшим с момента запуска линейки, так как планшеты получат экраны OLED. Согласно свежим данным, новинки будут ещё и сверхтонкими.  фото: Apple CAD-чертежи, которые опубликов...

Vivo начнет продажи своего самого тонкого смартфона V30 5G в России Любители стильных и тонких смартфонов, ликуйте! Vivo официально представила в России свое новое устройство — V30 5G. Старт продаж намечен на 26 марта.

Lenovo представила тонкий бюджетный 11-дюймовый планшет Компания Lenovo анонсировала в Японии планшет Lenovo Tab K11. Новинка обладает дисплеем с высокой частотой обновления, чипом MediaTek и тонким корпусом (7,2 мм). ОсобенностиLenovo Tab K11 оснащён 10,95-дюймовым IPS-дисплеем с разрешением Full HD+ (1920×1200 пикселей) и…

Самый тонкий продукт Apple: «внутренности» M4 iPad Pro показали на видео YouTube-канал Phone Repair Guru представил подробный разбор нового iPad Pro M4, предлагая взглянуть на внутренности самого тонкого продукта компании на сегодняшний день.

Звёзды-родственники: зачем и как мы их ищем, данные + код (Python) В настоящее время, благодаря передовым обсерваториям, космическим телескопам и миссиям, включающим (но не ограничивающимся) Hubble, Kepler, Gaia, возможности для изучения звезд и их скоплений вышли на новый уровень. Технологии позволяют не только проникнуть в глубины космоса...

В 2024 году камера Zeiss выйдет на новый уровень? Инсайдер анонсировал «камерофон-Танос» от Vivo Инсайдер Digital Chat Station анонсировал камерофон Vivo, который за передовые возможности камеры называл Таносом. «Возможности изображения [Vivo] X100 уже превзошли [возможности] всех флагманов этого [2023] года, а в следующем году появится Танос с более высоким...

Samsung готовит производство чипов на 1 нм техпроцессе Если верить информации западных инсайдеров, компания Samsung Foundry планирует представить свой передовой технологический процесс в 1 нанометр в следующем месяце с запуском массового производства к 2026 году. Соответственно, пока Intel и TSMC занимались своими собственными т...

Израильская армия наконец-то начала приваривать к танкам Merkava «антидроновые» навесы Опыт передовой армии начинает перениматься вооружёнными силами попроще.

Специалисты СПбПУ объявили о создании безмасочного нанолитографа Изображение: Midjourney Ученые питерского СПбПУ объявили о создании двух передовых установок, которые должны позволить России сохранить суверенитет в области технологий микроэлектроники. Речь идет об установке безмасочной нанолитографии и установке по плазмохимическому трав...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Моторы, коробки, цены и качество Lada Largus 2024. «За рулём» побывал на конвейере, где собирают самую практичную Lada О том, как собирают новый Lada Largus на заводе в Ижевске, рассказал главный редактор «За рулём» Максим Кадаков, который опубликовал первое подобное видео с производственной линии. В ролике автоэксперт рассказывает об используемом моторе, коробке передач, о ...

Новая линейка iPhone 16 от Apple ожидается в начале сентября Новый iPhone 16 от Apple: улучшенный дизайн, больше цветов и ожидаемые обновления программного обеспечения. Готовьтесь к знакомству с передовыми технологиями.

Samsung начнет производить новейший 3-нм процессор для Galaxy S25 уже в ближайшие месяцы Компания Samsung объявила о скором начале массового производства нового процессора, выполненного по передовой 3-нм технологии.

IOEMA Fiber запускает строительство подводного кабеля в Северном море Компания IOEMA Fiber объявляет о начале работ по прокладке передового подводного кабеля длиной 1400 км в Северном море, который свяжет ключевые европейские страны, обеспечивая пропускную способность до 1,3 Пбит/с.

Samsung сделает складные модели Galaxy Z Fold 6 легче и тоньше предшественников Samsung готовит обновлённый дизайн своих складных устройств серии Galaxy Z Fold и хочет сделать их конкурентными в отношении OnePlus Open и Huawei Mate X. Samsung намерена представить более лёгкие и тонкие Galaxy Z Fold 6.

Бесплатные курсы по большим языковым моделям для дата-сайентистов Большие языковые модели предоставляют широкий спектр возможностей для различных задач и приложений. Они могут быть использованы для создания чат-ботов, голосовых помощников, автоматического редактирования текста, генерации субтитров и многое другое. Обучение этих моделей в 2...

Созданы ультратонкие линзы для ночного видения: подходят для «повседневности» Новый прорыв в технологии ночного видения может вскоре позволить каждому видеть в темноте с помощью ультратонких, легких линз. Исследователи из TMOS в Австралии разработали настолько тонкую пленку для ночного видения, что ее можно будет надевать на обычные очки, а громоздкие...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Hyundai патентует рулевое колесо с индикаторами переключения передач как в F-1 Hyundai запатентовала новую технологию рулевого колеса, которая позволит сделать передовые функции, заимствованные из мира автоспорта, доступными для массовых моделей. Ключевая идея – создание модульной конструкции с интегрированными индикаторами переключения передач, что зн...

Евросоюз начал строгое расследование в отношении AliExpress по множеству пунктов Еврокомиссия инициировала расследование в отношении очередной — одной из крупнейших в мире онлайн-платформ — AliExpress по десяти статьям Закона о цифровых услугах (DSA). AliExpress — третий сервис, в отношении которого Европа начала строгое расследование на предмет нарушени...

В России уже разрабатывается компактная АЭС для базы на Луне Возможный вид лунной базы. Рендер: КБ Южное Как рассказал глава «Роскосмоса» Юрий Борисов, инженеры корпорации уже приступили к разработке ядерной энергетической установки, которая станет снабжать энергией российскую станцию на Луне.

Уволенный глава OpenAI Сэм Альтман присоединился к передовой исследовательской группе Microsoft в области ИИ Microsoft нанимает бывшего генерального директора OpenAI Сэма Альтмана и соучредителя Грега Брокмана. Альтмана уволили из OpenAI в пятницу после того, как совет директоров заявил, что «больше не уверен в его способности продолжать руководить OpenAI». После п...

Intel открыла новый завод по производству современной упаковки в Нью-Мексико Fab 9 является частью ранее объявленных инвестиций Intel в размере 3,5 миллиарда долларов в оснащение своих предприятий в Нью-Мексико для производства передовых технологий полупроводниковой упаковки.

Проект Google по производству геотермального электричества начал подачу электроэнергии к ЦОД Передовой геотермальный проект начал подачу электроэнергии, не содержащей углерода, в энергосистему Невады для питания центров обработки данных компании Google.

Появилось видео уничтоженной ЗРК "NASAMS", накрытой неназванным боеприпасом вдали от передовой Кажется в последние дни началась самая настоящая охота за передовыми украинскими ЗРК. Обычно такое бывает перед масштабным наземным наступлением

Sony нет смысла выпускать PlayStation 5 Pro У компании Sony нет необходимости выпускать игровую консоль PlayStation 5 Pro, поскольку некоторые разработчики считают, что им пока что не удалось выжать максимум производительности из обычной версии. Журналист информационного издания GamesIndustry рассказал, что хотя польз...

Почём Optimus для народа: Tesla назвала стоимость человекоподобных роботов Недавнее собрание акционеров Tesla в 2024 году ознаменовало собой важную веху на пути компании к передовой робототехнике. Илон Маск представил свежие обновления человекоподобного робота Optimus Gen 2, а также назвал расценки.  По словам Маска, стоимость Optimus Ge...

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

Раскрыто «секретное оружие» Китая для полета на Марс: модель атмосферы В условиях гонки со временем китайские ученые представили революционную численную модель GoMars, имитирующую все атмосферные нюансы на Красной планете. Этот передовой инструмент отражает циклы движения пыли, воды и углекислого газа на Марсе, что позволит реализовать амбициоз...

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

До 288 ядер и TDP до 500 Вт — это новые процессоры Intel. Xeon 6 наконец-то перегоняют AMD Epyc по количеству ядер Кроме мобильных процессоров Lunar Lake компания Intel также представила и серверные Xeon нового поколения, которые будут конкурировать с AMD Epyc поколения Turin, представленными два дня назад.  Это поколение весьма важно для Intel, так как впервые с момента выход...

Как Китай получил доступ к советским системам ПВО С-75М „Волга“ во времена холодной войны В статье рассказывается о том, как Китай получил доступ к новейшим советским системам противовоздушной обороны С-75М «Волга» во времена холодной войны. Особое внимание уделяется поставкам этих систем в Египет, что позволило Китаю изучить передовые технологии и разработать со...

OnePlus Open может потеснить Pixel Fold как самый тонкий ... 19 октября состоится анонс сложного смартфона OnePlus Open. По последним утечкам, он может стать самым тонким сложным смартфоном на рынке США, опередив Pixel Fold от Google.

Учёные выяснили, как ожирение ускоряет старение мозга Результаты нового исследования показали, что диета с большим количеством жиров и ожирение могут ускорить старение мозга. В частности, старение сосудов, которые снабжают мозг кровью.

Первые процессоры Intel Core, которые сама Intel производить не может. TSMC приступила к массовому производству Lunar Lake Ресурс DigiTimes сообщает, что TSMC приступила к массовому производству процессоров Intel Lunar Lake.  Судя по всему, ноутбуки на основе этих CPU появятся в конце третьего квартала, а CPU Arrow Lake выйдут на рынок в четвертом квартале.  Напомним, Lunar Lake,...

«Если есть старые ремешки Apple Watch, продавайте»: радикальный редизайн коннектора Появились сообщения о том, что во вселенной Apple Watch произойдут коллосальные изменения — появится новый дизайн коннектора, который сделает существующие ремешки устаревшими. По слухам, более тонкие и изящные Apple Watch X, которые выйдут в 2024 или 2025 году, будут оснащен...

Оптоволокно ускорило Интернет, а теперь и позволило прослушивать поезда Технология Distributed Acoustic Sensing (DAS) стала мощным инструментом для мониторинга железнодорожной инфраструктуры, обеспечивающим неплохой уровень детализации и эффективности при обнаружении и предотвращении потенциальных проблем, пишет Wired. DAS использует волоконно-о...

В приморье создали «умную фабрику растений», позволяющую выращивать до 16 урожаев в год без почвы Ученые Передовой инженерной школы Дальневосточного федерального университета разработали революционную технологию выращивания растений без использования почвы. Новая "умная фабрика" позволит получать до 16 урожаев в год даже в экстремальных климатических условиях.

СУ-85 - лучшая советская ПТ САУ Второй Мировой Статья рассматривает процесс и результаты испытаний СУ-85, включая выявленные недостатки и рекомендации комиссии. Описывается решение задачи передовой артиллерии и улучшения орудий, что позволило внедрить СУ-85-II уже в августе 1943 года. В статье также освещается важность э...

Танковый уничтожитель вместо атакующего самолета Статья рассматривает процесс и результаты испытаний СУ-85, включая выявленные недостатки и рекомендации комиссии. Описывается решение задачи передовой артиллерии и улучшения орудий, что позволило внедрить СУ-85-II уже в августе 1943 года. В статье также освещается важность э...

Япония и США займутся совместной разработкой передового ИИ Премьер-министр Японии Фумио Кисида и президент США Джо Байден на саммите в Вашингтоне, который состоится 10 апреля, объявят о совместной работе над передовыми технологиями в области искусственного интеллекта (ИИ) и полупроводников.

AMD готовит GPU с 288 ГБ памяти. Ускоритель Instinct MI325X выйдет уже в этом году Ускорители для ИИ AMD Instinct 300 предлагают рекордный для рынка объём памяти до 192 ГБ, а теперь компания рассказала, что уже в этом году выпустить модель с 288 ГБ памяти!  Такой моделью будет Instinct MI325X. Она получит 288 ГБ памяти HBM3e. Пропускная способн...

Российские войска начинают использование передовых РЭБ РБ-109А "Былина" на СВО Французские спецслужбы сообщают о начале использования Вооруженными силами РФ современных систем РЭБ РБ-109А "Былина" в районе проведения специальной военной операции

Российских врачей и учителей хотят массово снабдить отечественными планшетами Минцифры собирается массово обеспечить российских учителей и врачей планшетами отечественного производства. Как заявил министр цифрового развития РФ Максут Шадаев во время конференции Tadviser Summit, которая проходит сейчас в Москве, снабжать учителей и врачей планшета...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Apple использует новые линзы для телеобъективов в iPhone 16 Pro Ранее аналитики и сетевые источники уже сообщали о том, что перископический объектив появится и в «обычном» iPhone 16 Pro. Apple уже придумала, как уменьшить габариты этого модуля. Для этого компания хочет заказать более тонкие линзы.Читать дальше... ProstoMAC.com.| Постоян...

Intel расширил сотрудничество с Пентагоном для разработки передовых микросхем Intel расширяет свое партнерство с Пентагоном, предоставляя доступ к передовым технологиям производства микросхем для национальной безопасности. В рамках программы RAMP-C, компания предоставит образцы микросхем нового поколения, которые могут быть произведены только в Европе...

Владелец TikTok – ByteDance объединилась с американской Broadcom для создания передового чипа ИИ Китайская компания ByteDance, владеющая TikTok, тайно разрабатывает новый высокопроизводительный чип искусственного интеллекта в сотрудничестве с американским производителем полупроводников Broadcom. Этот шаг поможет ByteDance преодолеть ограничения на экспорт передовых чипо...

США хотят запретить России и Китаю передовые ИИ от Google и OpenAI Администрация США намерена ввести новые ограничения на экспорт передовых моделей искусственного интеллекта в Китай и Россию, чтобы защитить американские технологии от возможного использования в военных целях.

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Новые iPhone, MacBook и Apple Watch станут тоньше. Компания, видимо, хочет сделать свои устройства одними из самых тонких на рынке Некоторое время назад в Сети появилась информация, что Apple готовит смартфон iPhone 17 Slim, который в своей линейке станет выше iPhone 17 Pro Max. Кроме прочего, этот аппарат должен выделяться более тонким корпусом. Но новые данные указывают на то, что Apple в целом х...

3 хакатона = 6 лет стажа: как я сделал красивое резюме и получил первую работу в IT Привет, хабравчане! Меня зовут Максим. Я из Новосибирска, мне 36 лет. Два года назад я начал воплощать в жизнь юношескую мечту: занялся программированием. Надо всех обойти, а я из продаж, IT не изучал. Базово освоив Python, я стал мониторить рынок труда и через два отклика н...

Российские ученые разработают бесшумный матрас для лежачих больных Ученые из Сеченовского Университета разрабатывают прототип тонкого и бесшумного матраса для лежачих больных. Это устройство позволит облегчить уход за пациентами, не создавая им дополнительных неудобств. В основе разработки лежат кольцевые актуаторы, способные создавать конт...

Meizu анонсирует премиальный смартфон Note 21 вопреки слухам об уходе Несмотря на ранее заявленный уход с рынка смартфонов, компания Meizu неожиданно анонсировала новый флагманский телефон – Meizu 21 Note. Устройство получит мощный чипсет Snapdragon 8 Gen 2, большую батарею на 5500 мАч и множество других передовых характеристик.

КНДР и Иран продолжают активно снабжать Россию ракетами, снарядами и беспилотниками — АP Западные эксперты считают, что Россия использует для ударов по Украине северокорейские снаряды и ракеты, а также, по слухам, обратилась к Ирану, чтобы восполнить дефицит оружия

АвтоВАЗ начал производство новой версии Lada Granta с Lada EnjoY Pro АвтоВАЗ сообщил о начале производства новой версии Lada Granta с мультимедийной системой Lada EnjoY Pro. Мультимедийная система Lada EnjoY Pro позволяет дублировать некоторые приложения со смартфонов, поддерживает популярные онлайн-сервисы, включая Навигатор, Музыку, За...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Новосибирский университет начал готовить «цифровых» инженеров В Новосибирске началась подготовка "цифровых" инженеров, специализирующихся на создании ДНК-чипов и расшифровке генома для прогнозирования болезней. Новосибирский государственный университет (НГУ) открыл три уникальные лаборатории Передовой инженерной школы "Когнитивная инже...

В Иркутске появится Передовая инженерная школа Госкорпорация Ростех активно поддерживает создание Передовой инженерной школы «АвиаПромТех» на базе Иркутского национального исследовательского технического университета.

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

16-дюймовый экран 2,5К, Core i5-13500H, тонкий корпус и 1,68 кг – за 615 долларов. Представлен RedmiBook 16 2024 Вместе с RedmiBook 14 2024 сегодня дебютировал и RedmiBook 16 2024. Интересно, что старшая модель оказалась ненамного тяжелее младшей: 1,68 кг против 1,37 кг. RedmiBook 16 2024 получил 16-дюймовый экран с разрешением 2,5К, кадровой частотой 120 Гц и максимальной яркост...

Когда размер важен: выживание животных во время урагана изучили Когда циклон «Идай» обрушился на Национальный парк Горонгоса в Мозамбике, он столкнулся с самыми передовыми технологиями, которыми «усыпан» парк, что позволило глубже изучить происходящее. Камеры слежения и GPS-устройства, являющиеся частью сложной системы мониторинга парка,...

Нейросеть позволила выпустить песню с вокалом Джона Леннона, которую не смогли восстановить ранее "Потерянная" композиция была записана в 1978 году

Ликбез по вхождению в Data Science: что для этого нужно и стоит ли пытаться? Всем привет! Меня зовут Надя, и сейчас я выступаю в роли ментора на программе Mentor in Tech и помогаю людям «войти» в Data Science. А несколькими годами ранее сама столкнулась с задачей перехода в DS из другой сферы, так что обо всех трудностях знаю не понаслышке. Поро...

Новый смартфон HONOR 200 Lite с мощной камерой для портретной съемки поступил в продажу в России Популярный бренд HONOR представил на российском рынке новый смартфон линейки 200 - HONOR 200 Lite. Ключевой особенностью устройства стали передовые камеры с высоким разрешением, передовыми алгоритмами и возможностями портретной съемки.

Освоить Excel и SQL: топ курсов, где научат инструментам для работы с данными 

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Вот так легко руками гнётся тончайший iPad Pro 13. Блогер JerryRigEverything проверил новинку Apple Блогер JerryRigEverything добрался до нового iPad Pro 13 на SoC M4. Это самый тонкий продукт Apple, и, конечно, его не лишним будет проверить на прочность.  Забегая вперёд, планшет легко гнётся. Достаточно легко, чтобы это нужно было учитывать даже при повседневно...

Vivo представила смартфоны серии S19 Сегодня компания Vivo официально представила серию смартфонов Vivo S19 с упором на возможности в области фотографии и передовой батареей. Стоит отметить, что на текущий момент в серию входят два смартфона — базовая и Pro-версии. Причём модель Pro оснащена более мощным процес...

Wildberries начал торговать автомобилями Lada Ранее ими же начал торговать сбербанковский «МегаМаркет».

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

США запретили ввозить и продавать часть ноутбуков в Китае США еще больше ограничивают доступ Китая к передовым ИИ-ускорителям и инструментам, необходимым для их производства. Пересмотренные правила основываются на ограничениях, введенных в октябре прошлого года, и занимают 166 страниц. Так, теперь они четко ограничивают экспорт ноу...

Новые процессоры Intel Meteor Lake будут не такими уж и новыми. Компания призналась, что архитектурных отличий от Raptor Lake немного В конце текущего года Intel представит процессоры Meteor Lake, которые предложат и новый техпроцесс, и новые архитектуры для больших и малых ядер, и новый iGPU. Впрочем, как оказалось, относительно архитектур всё не так однозначно.  Сама Intel сообщила, что больши...

Наноинструмент может произвести революцию в лечении рака Ученые из Университета Лидса разработали революционный инструмент, который может изменить исследования рака и привести к созданию более эффективных методов лечения. Этот нанохирургический инструмент, который в 500 раз тоньше человеческого волоса, позволяет исследователям изу...

Xiaomi Mix Flip не получит спутниковую связь Ранее в сети появилась информация, что будущий складной смартфон позволит пользователям общаться через спутник

От перфокарт до чатботов: с чего начиналась и куда идет аналитика данных История аналитики данных полна сюрпризов — от скромного начала с перфокарт до передового «современного стека данных». Обозреватель HackerNoon решил поделиться краткой сводкой основных событий, которые привели нас к этому моменту.

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

Nvidia подробно рассказала об ИИ-ускорителях, которые нельзя ввозить в Китай Nvidia рассказала, что новые экспортные ограничения США не позволят продавать два высокотехнологичных ИИ-чипа, предназначенных для китайского рынка, а также один игровой премиум уровня. Цель ограничений - не допустить приобретения Китаем передовых американских технологий, ко...

Крупнейший солнечный парк в мире достиг важной вехи — установлено 2,4 млн солнечных панелей Ожидается, что в течение следующих 5 лет мощность станции в Хавде достигнет 30 000 мегаватт. Солнечная ферма могла бы снабжать энергией целые страны.

Эксперт: передовые технологии — главный «козырь» войск России По мнению полковника в отставке и военного эксперта Анатолия Матвийчука, самое мощное оружие российских вооруженных сил — это комплекс передовых технологий. В интервью «Ленте.ру» он подчеркнул важность передовых военных технологий России, включая воздушно-космические силы и ...

Малайзия изъявила желание стать мировым центром производства процессоров Премьер-министр Малайзии Анвар Ибрагим объявил о начале реализации Национальной полупроводниковой стратегии, в рамках которой страна намерена стать мировым центром производства процессоров и в целом одним из передовых отраслевых хабов.

Китай создал систему тренировок для космических боев Китайские военные ученые обнародовали передовую игровую систему для космических войн, ранее скрывавшуюся от посторонних глаз. Отличаясь «удобным» дизайном, эта технология является не просто инструментом для военных операций, а полем боя для более чем 400 военных курсантов, к...

Специалисты УрФУ предложили новый керамический материал для дисплеев Изображение иллюстративное Инженеры Уральского Федерального Университета при помощи УрО РАН и индийских коллег создали нанокерамику — передовой материал, люминесцирующий тремя ключевыми цветами — красным, зеленым и синим. Как утверждают авторы проекта, характеристики данной...

TSMC поможет SK hynix к 2026 году освоить выпуск HBM4 Компании подписали меморандум о взаимопонимании.

До конца 2027 года Intel освоит выпуск чипов по технологии Intel 10A И внедрит на предприятиях роботов.

Выпуск 28-нм чипов в России планируется освоить к 2027 году, 14 нм – к 2030 году Планы, впрочем, склонны меняться

Instagram* начал тестировать функцию, которая позволит пользователям предлагать фото и видео для чужой «карусели» «Предложку» можно будет отключить.

Wildberries позволил закупаться тем, у кого нет денег Wildberries начал тестировать продажу товаров в кредит и рассрочку, а также с оплатой частями.

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Новые iPad Pro с OLED-экранами станут заметно тоньше предшественников Ресурс 9to5mac со ссылкой на осведомленные источники сообщает о том, что новые iPad Pro с OLED-экранами будут тоньше предшественников. Ранее подобная информация уже появлялась в сети. Однако теперь источники поделились точными размерами новинок. Забегая немного вперед, можно...

Китай стремится к звездам, или почему новый спутник страны - начало «конца» Starlink Китай незаметно включился в гонку за мировое «интернет-доминирование», осуществив запуск спутника, предназначенного для тестирования передовых технологий спутникового интернета. Таинственный полезный груз, запущенный на борту ракеты Long March 2D, представляет собой «экспери...

Honor 200 и Honor 200 Pro получат мощные топовые платформы, но будут ли они такими же тонкими и лёгкими, как Honor 200 Lite? Очень тонкий и лёгкий смартфон Honor 200 Lite был представлен несколько дней назад, но модели Honor 200 и 200 Pro ещё не были анонсированы. И вот сегодня стало известно, что это будут за модели.  Согласно свежим данным, Honor 200 получит SoC Snapdragon 8s Gen 3, т...

Как делать потрясающие кадры: подборка курсов для тех, кто хочет освоить фотографию

TSMC поможет SK hynix освоить выпуск памяти типа HBM4 И усилить позиции в противостоянии с Samsung Electronics.

В России планируют освоить производство 28-нм чипов к 2027 году, а 14-нм — к 2030-му Соответствующие заявления сделал Минпромторг.

Amstrad Notepad Computer NC100: история, характеристики и особенности винтажного девайса История британской компании Amstrad насчитывает ровно 40 лет. Она была основана в 1968 году сэром Аланом Майклом Шугаром. Этот достопочтенный джентльмен имеет титулы барона и рыцаря, является почётным доктором наук в двух университетах Лондона, а ныне занимает место в Палате...

«Норникель» запустит высокотехнологичное производство «Норильский никель» анонсировал создание нового производства на базе Медного завода в Норильске, где планируется внедрить передовые технологии, включая 3D-печать. Старший вице-президент компании Лариса Зелькова уточнила, что медный завод будет модернизирован для развития сов...

С начала этого года TSMC выручила на 27% больше, чем годом ранее Пока фактическая отчётность оказывается лучше ожиданий.

Инновационные решения для кастомизации автомобилей: 3D-принтеры от Intamsys в производстве подстаканников Американский предприниматель по имени Пэт снабжает автомобильный рынок адаптерами Cup Keeper Plus, позволяющими устанавливать большие стаканы и бутылки в стандартные автомобильные подстаканники. Реализовать проект и преодолеть производственные трудности удалось с помощью 3D-...

100-Гц монитор ViewSonic VA2723-2K-HD оценен в 105 долларов Компания ViewSonic пополнила ассортимент мониторов моделью ViewSonic VA2723-2K-HD, которая основана на 27-дюймовой IPS-матрице с разрешением 2560:1440 пикселей (формат 2K). Новинка также характеризуется кадровой частотой 100 Гц, временем отклика 1 мс (MPRT) глубиной цвета 8 ...

Удобно для партнёра, приятно для вас: 13 поз для куннилингуса Если вы уже освоили азы и захотелось разнообразить практику.

Индии потребуется не менее десяти лет, чтобы освоить выпуск 28-нм чипов По консервативным оценкам.

Изучаем DevOps: подборка курсов, которые помогут освоить новую профессию или углубить знания

Как определить день недели по дате, не заглядывая в календарь Придётся освоить пару формул.

TSMC должна будет к 2028 году освоить в США выпуск 2-нм продукции И построить три предприятия вместо двух.

Google начала привязывать cookie в браузере Chrome к устройству для защиты от хакеров Это не позволит злоумышленникам совершать большинство атак с подменой cookie

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Новое оружие Intel, но уже без Hyper-Threading. Стало известно, когда выйдут процессоры Arrow Lake для ноутбуков Документы компании Clevo пролили свет не только на видеокарты GeForce RTX 50 Laptop, но и на будущие мобильные процессоры Intel.  Согласно этим документам, мобильные Arrow Lake выйдут в четвертом квартале. Настольные, напомним, тоже (в октябре). Нас ждут Arrow Lak...

В игре King of the Forge надо крафтить лучшее оружие для героев King of the Forge это мобильная игра с пиксельной графикой в жанре «RPG», где основа геймплея — крафт оружия и брони. Занимаются этим мыши-непоседы, снабжая героев лучшей экипировкой. Во время приключений в подземельях и рейдах, персонажи могут принести материалы и рецепты к...

iPad Pro 2024 получит тонкие рамки и экран с матовым покрытием Пока компания Apple только готовится к анонсу новых планшетов, китайские источники делятся новыми подробностями об iPad Pro 2024. По словам инсайдера, известного в Weibo под ником Instant Digital, обновленные «прошки» впервые за всю историю получат матовый экран с тонкими ра...

Немного отверстий :) Была интересна возможность печати тонких структур на фотополимерный 3D-принтере вот создал такую модель. Дырявил стандартного зайца в Meshmixer. Белого чёрного и серого пришлось красить акрилом в несколько слоёв с просушкой после зайца структуры очень тонкие были полу прозра...

«Протон-ПМ» начал серийные поставки комплектующих двигателя для ракет «Ангара» Предприятие «Протон-ПМ» (входит Роскосмос) начало поставлять «НПО Энергомаш» узлы и агрегаты двигателя РД-191 для семейства ракет-носителей «Ангара». Это первый контракт «Протон-ПМ» на серийное производство таких комплекту...

В картине Рембрандта нашли слой токсичного материала Глубокий анализ с использованием рентгеновских лучей позволил выявить на полотне необычный слой токсичного материала, который ранее не использовал ни сам художник, ни его современники

YOFC представила передовые инновации на MWC 2024 в Барселоне   Компания YOFC (Yangtze Optical Fibre and Cable Joint Stock Company), лидер на мировом рынке оптического волокна и кабеля, представила пакет передовых продуктов и решений на Всемирном мобильном конгрессе 2024 в Барселоне (MWC Barcelona). В рамках темы «Объединяя будуще...

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

300-миллиметровые полупроводниковые пластины, появившиеся почти 25 лет назад, наконец-то будут заменены? TSMC рассматривает переход на квадратные пластины На сегодняшний день в производстве полупроводников по современным техпроцессам используются привычные круглые 300-миллиметровые пластины, появившиеся почти четверть века назад. Разговоры о переходе на 450-миллиметровые пластины ходят давно, но, возможно, этого так и не ...

Запрет на ввоз в Китай ИИ-ускорителей Nvidia вступил в силу на неделю раньше Nvidia ранее столкнулась с новыми ограничениями на экспорт из США своих ИИ-ускорителей. При этом эти ограничения, которые первоначально должны были вступить в силу через 30 дней после их объявления в октябре, вступили в силу раньше, чем ожидалось. Цель ограничений - предотвр...

Apple снизила цены на iPhone 15 в Китае. Таких скидок еще не было: ждем их в России Apple, в отличие от многих других производителей, славится своей стойкостью касательно цен на свою продукцию. Особенно на iPhone. Традиционно компания не делает на смартфоны скидок в течение всего года, пока не выйдет новая модель. Только после этого прошлогодний аппарат ли...

«КАМАЗ» освоил собственное производство осевых агрегатов для полуприцепов Глядишь, в XXI веке предприятие выйдет на технологии века XX-го.

7 советов, которые помогут быстро выучить английский Специалист с 14-летним стажем уверена, что язык освоят и взрослые, и дети.

В России планируют освоить производство 28-нм процессоров к 2027 году Такие чипы выпускались крупнейшими производителями еще в 2009–2010 годах

Мошенники освоили многоходовочку: они не просят номер карты, но элегантно оставят вас без гроша Будьте трижды внимательны!

Эксперт: Освобождение Марьинки выровняло южную ЛБС и позволит усилить наступление на Авдеевку А на Украине плавно начал дорожать доллар

«Дикси» начала тестировать электронные ценники в двух магазинах Технология позволит «освободить работников от рутинной печати и расстановки бумажных ценников».

SL_ST FEEDER Логичным продолжением разработки пинцета стало создание питателя для SMD катушек.Вполне возможно что это бесполезное занятие. Но фантазию сложно удержать. Сделать питатель тонким и простым. В изготовлении похоже понадобится EDM но это не страшно, объём таких деталей совсем н...

Крошечная плата с PCIe x1 стоимостью менее 100 долларов, позволяющая подключить к ПК дополнительно до четырех мониторов Подключение большого числа мониторов к ПК может стать проблемой из-за недостаточного количества видеовыходов. Компания Synaptics приготовила недорогое решение, которое позволит добавить еще несколько мониторов к системе при необходимости.   фото: Future фото: Fut...

Яндекс Директ позволил создавать нейрообъявления в режиме эксперта Ранее генерировать объявления с помощью нейросетей можно было лишь в Мастере кампаний и Яндекс Бизнесе

iPhone 16 получит тонкие рамки вокруг экрана Ранее в сети не раз появлялась информация о планах Apple уличить экраны в новом поколении iPhone. Ожидается, что iPhone 16 и iPhone 16 Pro получат 6,27-дюймовый дисплей, а iPhone 16 Plus и iPhone 16 Pro Max будут оснащены экранами с диагональю 6,85 дюйма. Согласно последним ...

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Новейший морской дрон "Визир-2М" с передовой платформой от КМЗ дебютирует на выставке в Кронштадте Кингисеппский машиностроительный завод (КМЗ) впервые представит на Международном военно-морском салоне в Кронштадте свою новую разработку – безэкипажный гидрографический катер "Визир-2М" с инновационной гиростабилизированной платформой. Данная платформа позволит проводить вы...

Xiaomi 14 превзошел iPhone 15 и iPhone 15 Pro: у него более тонкая рамка экрана Xiaomi опубликовала первое изображение-сравнение новейшего Xiaomi 14 с конкурентами. К последним, конечно же, причислили новейшие iPhone. Картинка дает хорошее представление о том, насколько более узкая рамка у Xiaomi 14. В нижней части разница не особо заметна, а вот ...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Маркетплейс Ozon начал выделять товары без возвратов и с высоким процентом выкупа Это нововведение позволит потребителям лучше ориентироваться в ассортименте

Как создать многослойность в одежде, чтобы было тепло и красиво Освоить приём нетрудно, главное знать несколько хитростей.

Намерения TSMC и Samsung освоить выпуск 2-нм чипов в США спутали планы японской Rapidus Теперь она лишается серьёзного рыночного преимущества.

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Разработан быстрый метод синтезирования тонких пленок для гибкой электроники Ученые из университета Гонконга совершили прорыв в области гибкой электроники, разработав сверхбыстрый и недорогой способ синтезирования тонких пленок. Тонкие пленки являются основой многих современных электронных устройств, таких как солнечные батареи, дисплеи и датчики. Од...

Иран анонсировал начало строительства «ядерного острова» АЭС «Карун» мощностью 300 МВт Иран официально объявил о скором начале основного этапа строительства первого энергоблока атомной электростанции «Карун» на юго-западе страны. Как сообщил глава Организации по атомной энергии Ирана (ОАЭИ) Мохаммад Эслами, заливка бетона фундамента «яде...

Байден хочет продать Израилю танковых боеприпасов на 106 миллионов долларов Ранее США использовали право вето в Совете Безопасности ООН, чтобы не позволить остановить войну в Палестине

Redmi Turbo 3 получит тонкий и прочный корпус Компания Xiaomi раскрыла новые подробности о перспективном смартфоне Redmi Turbo 3, опубликовав несколько красочных тизеров. По данным производителя, аппарат получит корпус толщиной 7,8 мм и массой 179 граммов. При этом, он сможет похвастаться высокой прочностью и временем а...

Компания GameChange Solar открыла в Бразилии фабрику по производству солнечных трекеров GameChange Solar (GCS), ведущий глобальный поставщик передовых экономичных решений солнечных трекеров для устанавливаемых на грунт фотоэнергетических установок, объявил об открытии новой фабрики, центра обучения, обслуживания и технической поддержки исключительно своих солне...

MediaTek анонсировала релиз процессора Dimensity 9400 Процессор Dimensity 9400 станет первым 3-нм чипом компании MediaTek, который, как утверждается, будет использовать второе поколение литографии TSMC, что приведёт к повышению энергоэффективности и другим преимуществам в области производительности. И вчера генеральный директор...

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

Мошенники освоили новый развод с фейковым налогом Обещают сэкономить десятки тысяч рублей

Как освоить разную вышивку, чтобы украшать джинсы, футболки и вообще всё Рассказываем про всевозможные техники — от простых до броских и затейливых.

[recovery mode] Где изучать Linux в 2024. Бесплатные курсы, книги и ресурсы В настоящее время существует множество бесплатных ресурсов, которые предлагают уроки по Linux, от курсов до университетских программ, что делает эту ОС доступной для широкого круга людей. Знание Linux может открыть двери к различным IT‑профессиям, таким к...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Samsung улучшила доходность техпроцесса 3 нм более чем втрое, но этого всё равно недостаточно, чтобы догнать TSMC Компания Samsung продолжает отставать от TSMC в темпах освоения новых техпроцессов, но улучшает собственные показатели. Как сообщается, показатель выхода годной продукции у техпроцесса 3 нм значительно улучшился.  Если точнее, то в разы. Инсайдер Revegnus, говорит...

Инструменты ИИ Google позволили создать карты мозга, чтобы изучать функции мозга и заболеваний Искусственный интеллект существует уже некоторое время, но только недавно начал развиваться благодаря таким сервисам, как ChatGPT от OpenAI.

iPhone 17 может получить тонкую материнскую плату Известный аналитик Минг-Чи Куо рассказал о том, что компания Apple собирается немного изменить технологию создания материнских плат для своих смартфонов. По словам эксперта, в будущем на плате могут появиться медные компоненты с полимерным покрытием (RCC). Такое решение позв...

В комментариях к товарам на Wildberries стало опасно. Зашёл почитать — лишился денег Мошенники освоили новый способ поиска жертв.

В Казахстане построят новейший завод с передовыми китайскими технологиями: на нём будут собирать по полному циклу машины Kaiyi Китайский бренд Kaiyi подписал соглашение с группой Orbis Auto о сборке автомобилей в Казахстане. Машины будут собирать собирать на новом автомобильном заводе в Алматы, который начнут строить во втором квартале 2024 года. Завод будет соответствовать всем стандартам, на ...

В сети появились кадры поражения очередного танка M1 Abrams высокоточным снарядом «Краснополь» Ранее высокоточным управляемым снарядом "Краснополь" в начале мая был подбит другой «Абрамс».

Apple готовится к началу продаж Vision Pro за пределами Соединённых Штатов Ранее данный товар появлялся в других странах через неофициальных реселлеров

«Вы не можете представить себе такой ультратонкий и сверхпрочный смартфон на Snapdragon 8». Redmi Turbo 3 будет не только быстрым, но ещё и прочным Сегодня Redmi опубликовала очередную порцию тизеров, посвященных смартфону Redmi Turbo 3. Если ранее компания акцентировала внимание на производительности устройства, то сейчас речь о том, что он окажется тонким и легким. Если точнее, толщина составляет 7,8 мм, масса &...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

Новый процессор Snapdragon 8 Gen 4 мощнее Apple M2 для макбуков. Когда он выйдет и какие смартфоны его получат Хотя производители смартфонов продолжают выпускать флагманы на Snapdragon 8 Gen 3, уже во всю кипит подготовка к релизу Snapdragon 8 Gen 4 — нового процессора для топовых мобильных устройств. Выйдет он не завтра, но уже сейчас о нем известно практически все. По предваритель...

В январе Китай освоил 112,71 млрд юаней иностранных инвестиций Снижение по сравнению с аналогичным периодом прошлого года составило 11,7%

Тончайшая металлическая рамка, четырехъядерный процессор, 75 дюймов и 4К – за 425 долларов. Бюджетные Xiaomi TV A50, A55, A65, A70 и A75 поступили в продажу в Китае Под самый конец 2023 года Xiaomi запустила в Китае продажи своих бюджетных телевизоров Xiaomi TV A50, A55, A65, A70 и A75. Они отличаются только размерами экрана, все остальное одинаково. ТВ выполнены в корпусах unibody с тонкой металлической рамкой (экран занимает 97,...

Что возят космические грузовики Космонавты проводят на борту станций довольное продолжительное время. Неудивительно, что перед инженерами встал вопрос: как же снабжать людей? Необходимы грузоперевзоки, причём регулярные. Самое простое решение — разработать космический грузовик. Именно о нём мы сегодня и по...

Обнаружен новый загрузчик хак-группы PhantomCore Специалисты компании FACCT рассказали об обнаружении нового, ранее неизвестного загрузчика PhantomDL (или PhantomGoDownloader). Анализ выявленных образцов позволил установить связь вредоноса с группировкой PhantomCore.

КамАЗ упростил производство деталей кабины K5: российские лонжероны не уступают немецким от Daimler Truck AG КамАЗ в рамках стратегии импортозамещения решил оптимизировать и улучшить процесс производства лонжеронов кабины флагманского семейства K5. Ранее до 2023 года эти компоненты поставлялись готовыми от Daimler Truck AG и производились немцами методом горячей листовой штамп...

Складной смартфон Xiaomi MIX Flip засветился в сети Авторитетный китайский инсайдер Digital Chat Station сообщает, что компания Xiaomi готовит к скорому выходу компактный складной смартфон. Устройству приписывают тонкий и легкий корпус, топовую 4-нанометровую платформу Qualcomm Snapdragon 8 Gen 3 и поддержку спутниковой связи...

Google и Microsoft объединились для развития чистой электроэнергии Корпорации Nucor Corporation, Google и Microsoft Corporation объявили о сотрудничестве в рамках энергетической экосистемы для разработки новых бизнес-моделей и агрегации спроса на передовые технологии чистой энергии. Основное внимание будет уделено развитию первых коммерческ...

Банки с новой силой начал атаковать мощный троян Grandoreiro Специалисты компании IBM X-Force сообщили, что хакеры, которых ранее разгромили западные правоохранительные службы, вернулись с новой силой.

Ростех отправил в войска новую партию танков Т-80БВМ Ранее в корпорации сообщалось, что запланировано начало производства боевых машин семейства Т-80

«Маск нам не нужен». В Белоруссии импортозаместили Starlink Все уже давно привыкли к белорусским креветками и устрицам, а сейчас страна шагнула еще дальше: в Белоруссии импортозаместили Starlink. Передовую разработку представили лично Александру Лукашенко. В поле. Работает система, по словам создателя, так: «Где-то в...

Snapdragon 8 Gen 4 слишком дорогая, а Exynos 2500 «провалилась». Samsung может использовать SoC MediaTek для своих флагманских Galaxy S25 Смартфоны Galaxy S25 оказались в незавидном положении, выходом из которого может стать переход на платформы MediaTek.  Как сообщается, Samsung рассматривает возможность использовать для своих новых флагманов SoC Dimensity, хотя ранние слухи говорили только о Snapd...

Таких процессоров Intel мы в итоге уже не получим. Компания экспериментировала с пятичиплетными CPU Meteor Lake Процессоры Intel Meteor Lake уже вышли. Они имеют до шести больших ядер и восьми малых, если не считать отдельные два ядра в чиплете SoC. Оказалось, что Intel экспериментировала с вариантами Meteor Lake с другой конфигурацией чиплетов и, возможно, большим количеством яд...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

В Белоруссии выпустили 35 тыс. Geely и BelGee с начала года: в 2024 году планируют собрать 80 тыс. машин Белорусско-китайское совместное предприятие «БелДжи» за первые пять месяцев этого года выпустило 35 тысяч автомобилей марок Geely и BelGee. Об этом сообщил глава предприятия Геннадий Свидерский в интервью AV.by, который добавил, что в течение всего года заво...

iQOO Z9 Turbo получит тонкий корпус Руководитель бренда iQOO подтвердил слухи о том, что смартфон iQOO Z9 Turbo будет представлен уже в этом месяце. По предварительным данным, аппарат оснастят новым тонким аккумулятором Blue Ocean от Vivo ёмкостью 6000 мАч, корпусом толщиной 7,98 мм, 4-нанометровой однокристал...

К началу навигации МегаФон прокачал мобильный интернет у Речного вокзала Это позволило увеличить скорость передачи данных в смартфонах и планшетах нижегородцев и гостей города на 30%.

Honor, куда еще тоньше? Складной смартфон Magic V2 побьет рекорд Magic V2 Новейшие складные смартфоны уже приближаются к обычным по своей толщине, но как минимум Honor останавливаться на достигнутом не собирается. Ее Magic V3 будет тоньше предшественника.  Компания опубликовала рекламный тизер, где указала, что новинка поднимет планку н...

Qualcomm заинтересовалась 2-нм техпроцессом Samsung для Snapdragon 8 Gen 5 Qualcomm нацелилась на 2-нм технологию Samsung Foundry.

Китайская SMIC освоила 5-нм узел, а уже этой осенью Huawei выпустит смартфон Mate 70 с новым чипом По мнению аналитиков, стоимость каждого процессора серии Kirin окажется на 50% выше, чем предлагает тайваньская TSMC.

В России освоили технику воздушного минирования и успешно блокируют украинские позиции FPV-дронами К такому бойцов ВСУ не готовили инструкторы НАТО

Bloomberg: На восстановлении Украины может быть освоен 1 триллион долларов от зарубежных инвесторов Для украинских чиновников-коррупционеров это настоящий финансовый пир

Компания GameChange Solar открыла в Бразилии фабрику по производству солнечных трекеров GameChange Solar (GCS), ведущий глобальный поставщик передовых экономичных решений солнечных трекеров для устанавливаемых на грунт фотоэнергетических установок, объявил об открытии новой фабрики, центра обучения, обслуживания и технической поддержки исключительно своих солне...

Tsingke продемонстрировала передовые решения в области биопрепаратов на выставке Biologics 2024 В Лондоне завершилась выставка Biologics 2024, в которой приняли участие 650 фармацевтических, биотехнологических и академических представителей и более 50 экспонентов, участвующих в дискуссиях на высоком уровне о последних инновациях в области открытия и разработки биопрепа...

Бывшего сотрудника ASML обвиняют в краже секретной информации для Huawei По данным голландской газеты NRC, бывший сотрудник ASML Holding NV, обвиняемый в краже данных у производителя самого современного в мире оборудования для производства микросхем, перешёл на работу в компанию Huawei Technologies Co. В феврале ASML заявила, что бывший сотр...

Xiaomi Mix Fold 4 поставит рекорд толщины и веса Авторитетный информатор Digital Chat Station поделился новыми подробностями о складном смартфоне Xiaomi Mix Fold 4, релиз которого ожидается грядущим летом. Источник утверждает, что грядущая новинка станет рекордно тонкой и легкой среди других складных смартфонов. Ранее...

Не только передовая камера Leica, но и аккумулятор емкостью 5180 мА·ч. Новые подробности о Xiaomi 14 Ultra Инсайдер Digital Chat Station сообщил параметры подсистемы питания Xiaomi 14 Ultra. По его данным, емкость аккумулятора смартфона составит 5180 мА·ч, он будет поддерживать проводную зарядку мощностью 90 Вт и беспроводную мощностью 50 Вт. Так выглядит Xiaomi 13 U...

vivo X Fold3 получит самый тонкий в мире корпус Сегодня появилась достаточно интересная информация о смартфонах vivo X Fold3 и X Fold3 Pro — инсайдеры уверены, что складные смартфоны нового поколения будут запущены в конце этого месяца, и теперь появились достаточно детальные характеристики того, как эти гаджеты будут выг...

FT: Атаки хуситов вызвали бум перевозок через РФ и привели к процветанию РЖД Это называется асимметричные действия. Неудивительно, если скоро хуситы освоят гиперзвуковые технологии в ракетостроении

Даже Apple хочет, чтобы вы попали в IT: появился ресурс по изучению языка Swift Приветствуем всех начинающих разработчиков приложений, для вас Apple запустила новый ресурс, который поможет освоить программирование на Swift.

Искусственный интеллект освоил искусство обмана Исследователи бьют тревогу: искусственный интеллект (ИИ) научился обманывать людей. Разработанные для помощи системы приходят к победе хитрым путем.

Ученые ORNL освоили 3D-печать вольфрамом Аддитивное производство с использованием самого тугоплавкого металла найдет применение в энергетике, в частности в экспериментах по управляемому термоядерному синтезу.

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Техпроцесс 1,8 нм Intel превосходит 2-нм техпроцесс TSMC По словам генерального директора Intel.

Samsung Electronics ускоряет освоение 2-нм техпроцесса в ущерб 3-нм Перекуём мечи для аврала!

Появились характеристики чипа MediaTek Dimensity 8300 на техпроцессе 4 нм 8-ядерный Dimensity 8300 превзошёл Dimensity 8200-Ultra в Geekbench 6

Snapdragon 8 Gen 4, «относительно тонкий и легкий корпус», экран 2К, 50 Мп и 3-кратный оптический зум. Раскрыты характеристики Xiaomi 15 Pro Инсайдер Digital Chat Station раскрыл характеристики Xiaomi 15 Pro — ожидается, что этот смартфон станет одним из первых в мире на SoC Snapdragon 8 Gen 4. Xiaomi 14 Pro. Фото: ITHome По словам информатора, Xiaomi 15 Pro получит «относительно тонкий и легкий...

СМИ: Apple активно разрабатывает складной iPhone Статья от The Information утверждает, что у Apple есть минимум два прототипа складного iPhone. Компания начала работать над ним в 2018 году, но приостановила проект в 2020, сосредоточившись на складном iPad. Сейчас Apple продолжает работу над складным iPhone, стремясь сделат...

[Перевод] Трансформеры, группы преобразований и self-attention В подвижном мире развивающихся нейросетевых архитектур главную роль играет эффективность работы моделей. Тонкая настройка сетей под конкретные задачи предусматривает интеграцию в них априорных знаний. Делается это посредством стратегических корректировок архит...

Российские учёные создали наноразмерное покрытие для стёкол самолетов Учёные Обнинского научно-производственного предприятия «Технология» им. А. Г. Ромашина в Калужской области создали инновационное оптическое покрытие и технологию его нанесения на остекление кабин пилотов. По словам разработчиков, покрытие уникально и не имее...

В Калининграде начали выпускать кроссовер X7, но не BMW, а BAIC Калининградский «Автотор» объявил о начале производства кроссовера BAIC X7. Готовились к этому с июня текущего года: монтировали и настраивали оборудование, обучали персонал. BAIC X7 станет пятой моделью бренда, собираемой в России: ранее «Автотор&raq...

Сэм Альтман вывел Oklo на публичный рынок с целью решения растущего спроса на энергию для ИИ-систем Oklo, компания по производству передовых ядерных технологий, начала торговаться на Нью-Йоркской фондовой бирже. Ее основал и возглавляет Сэм Альтман, соучредитель и генеральный директор OpenAI. Альтман рассматривает ядерную энергетику как ключ к решению растущих потребностей...

Как правильно готовить водородную энергетику Приветствую всех айтишников и технарей. Я не забыл про ЯМР, я помню! Но за последнее время на глаза попалось сразу несколько статей про водородную энергетику, и каждая из них грубо задевала тонкие профессиональные струны моей души. В связи с этим я решил, что молчать дальше ...

Microsoft наконец-то позволила удалить OneDrive из Windows Компания Microsoft была вынуждена сознаться в том, что некоторые базовые сервисы ее экосистемы все же возможно удалить или заменить альтернативными аналогами. Пока что речь идет об облачном хранилище OneDrive, которое предлагается к использованию в Windows по умолчанию. Ране...

В России создали уникальные диэлектрики для космической аппаратуры Холдинг «Росэлектроника» госкорпорации Ростех освоил производство полимерных диэлектриков, которые применяются в электронных блоках для изоляции микросхем от воздействия внешних факторов. Об этом рассказали в пресс-службе госкорпорации.  Иллюстрация: &...

«Cамый доступный российский легковой автомобиль на высокотехнологической платформе» Lada Iskra уже задерживается Президент компании АвтоВАЗ Максим Соколов подтвердил смещение сроков начала серийного производства Lada Iskra на заводе в Тольятти. «Ещё новая модель — это автомобиль Lada Iskra. Старт её производства запланирован на самое начало 2025 года и это будет с...

Финская компания HMD начала производство собственных смартфонов Ранее предприятие выпускало смартфоны для бренда Nokia

Эксперт перечислил основные причины переподчинения бойцов ЧВК «Вагнер» российскому МО Передовые российские подразделения развернуты в районе Пальмиры и Хомс. Процесс развертывания частей, призванных заменить отряды ЧВК «Вагнер», полностью завершен к концу октября. Минобороны РФ планирует полностью заменить кадровыми военнослужащими «вагнеровцев», которые воюю...

Гигантский аккумулятор 11 000 мА·ч, до 24 ГБ ОЗУ, хорошая камера, IP69K и MIL-STD-810H. Неубиваемый смартфон Oukitel WP35 подешевел до выхода Компания Oukitel, которая месяц назад представила довольно тонкий (в своем классе) защищенный смартфон WP35 с поддержкой 5G и рекордной емкостью аккумулятора 11 000 мА•ч, сообщила о снижении цены еще до выхода. Изначально было обещано, что он будет стоить 200 долла...

Apple впервые начала продажи кабеля USB-A — USB-C Apple добавила в свой интернет-магазин кабель USB-A - USB-C, что позволит владельцам iPhone 15 подключать свои устройства к автомобилям и другой технике с портами USB-A.

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса GAA (Gate-All-Around)

Intel Lunar Lake будет использовать 3-нм техпроцесс TSMC 1024 графических ядра, трассировку лучей и память LPDDR5X-8533

Samsung хотела бы внедрить 1-нм техпроцесс в 2026 году Чтобы хоть в чём-то опередить TSMC и Intel.

Выход 4-нм продукции Samsung Foundry удваивается с 2022 года до 70% Производительность Samsung Foundry при 4-нм техпроцессе выросла до 70%

Intel подробнее рассказала о семействе техпроцессов Intel 3 Intel 3 обратно совместим с Intel 4.

РЖД тестирует «умную оплату» проезда по геолокации в Калининградской области «Российские железные дороги» рассказали о запуске тестирования «умой оплаты» по геолокации для пассажиров пригородных поездов  на маршруте Калининград-Южный — Зеленоградск-Новый. Иллюстрация: РЖД Для тестирования на смартфон требуется...

Bloomberg: Немецкие специалисты проявляют высокую заинтересованность в работе на ВПК Германии Связано это с бумом оборонной промышленности, который сделал репутацию оборонным компаниям и позволил привлечь туда людей, ранее работавших на том же немецком автопроме.

Противопоставить Nvidia Blackwell компании AMD пока нечего, но она готовит обновлённые ускорители Instinct MI350 Компания AMD сейчас активно продвигает свои ускорители для ИИ линейки Insinct MI300. Полноценную смену они получат ещё нескоро, но сообщается, что нас может ждать обновлённая версия.  Модель называется MI350. Её обнаружили в списке устройств, запрещённых для экспо...

Состоялся глобальный релиз прошивки HyperOS от Xiaomi – она вышла для 8 смартфонов Ранее считалось, что релиз HyperOS на международном рынке состоится лишь в начале 2024 года

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

Mitsubishi Outlander подорожал в России на 500 тыс. рублей с начала года, но есть нюанс Ресурс Autonews отследил динамику изменения цен на Mitsubishi Outlander в России с начала года. Оказалось, что очень популярный до кризиса кроссовер последовательно подорожал с 4 млн на начало 2023 года до 4,49 млн рублей на текущий момент. Фото: Motor1 Однако есть нюа...

Изучать и изучать: выявлено 275 млн новых генетических вариантов у людей Исследование, в котором приняли участие 250 000 участников программы «Все мы» Национального института здоровья США, позволило обнаружить сокровищницу ранее неизвестных генетических вариантов, что открывает путь к более полному и глубокому пониманию здоровья и болезней.

Xiaomi готовит игровой ноутбук с Core i9-14900HX и ультратонкий на базе Snapdragon X Elite Вчера мы писали о ноутбуке Redmi G Pro 2024, который готовит к выпуску Xiaomi. Эта модель засветилась в Geekbench с процессором Core i5-14500HX. Однако, по словам известного информатора Digital Chat Station, у этой модели будет версия и с более мощным CPU – Core i...

Apple позволит распространять iOS-приложения в ЕС на сайтах разработчиков Apple позволит разработчикам iOS, находящимся в Европейском Союзе, распространять свои приложения через Интернет в обход App Store. Компания из Купертино заявила, что «авторизованные разработчики» смогут предлагать прямую загрузку, начиная с этой весны. Ранее Apple долгое…

Мошенники используют технологии дипфейков на сайтах знакомств для создания ложных образов Злоумышленники на сайтах знакомств освоили технологии дипфейков для создания ложных образов в видеочатах, целью которых является обман и вымогательство денег у доверчивых пользователей.

В России освоили производство сварочных аппаратов необходимых для строительства ледоколов В Крыму создали уникальное оборудование для сварочных швов, способных выдержать экстремальные морозы -40°С и даже ниже.

Volkswagen вложит $5 млрд в производителя электрокаров Rivian Немецкая компания Volkswagen суммарно вложит в развитие американского EV-производителя Rivian $5 млрд. Ранее в начале 2024 года Volkswagen уже инвестировала в Rivian $1 млрд.

Смартфоны Huawei Mate 60 «взорвали рынок». Huawei резко увеличила план по выпуску новых смартфонов Из-за высокого спроса на серию Mate 60 компания Huawei поставила цель по поставкам смартфонов в следующем году на уровне 100 миллионов единиц. Это на 40% больше, чем компания планировала до выпуска серии Mate 60. Лукас Чжун, аналитик Canalys, подтвердил, что новые проду...

Лайки в Twitter скроют от лишних глаз X, платформа, ранее известная как Twitter, собирается сделать "лайки" приватными, устранив функцию, которая ранее подвергала политиков и общественных деятелей критике за то, что они ставили лайки на "спорные сообщения". Илон Маск, глава компании, подчеркнул, что это изменени...

Тонкий и лёгкий 16-дюймовый ноутбук LG Gram 2024 оценили в $1500 Тонкий и легкий 16-дюймовый ноутбук LG Gram 2024 года уже доступен на платформе JD.com, приём предварительных заказов будет вестись до 24 января по цене 1500 долларов. Он весит 1199 г. LG Gram 2024 оснащен процессором Intel Core Ultra 5, 16 ГБ оперативной памяти и тверд...

Россия готовится к освоению производства 28-нм чипов до 2027 года и 14-нм чипов до 2030 года Министерство промышленности и торговли Российской Федерации планирует, что к 2027 году будет освоено производство 28-нм чипов

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

После перехода на 3-нм техпроцесс чипы ощутимо подорожают TSMC фактически монополизирует рынок на какое-то время.

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

Google Drive наконец позволило владельцам iPhone пользоваться сканером документов Google Drive все же решила расширить доступность сканера документов, который ранее был эксклюзивом для Android. Теперь впервые пользователи iPhone и iPad смогут без проблем сканировать документы в приложении Drive.

Шаг вперед, два назад? В трансмиссию Lada Niva Legend вернули крестовины вместо ШРУСов Как сообщает Quto.ru, АвтоВАЗ изменил конструкцию трансмиссии Lada Niva Legend: шарниры равных угловых скоростей вновь заменили на крестовины. Напомним, ранее замена крестовин на ШРУСы преподносилось как техническая революция: меньше вибраций, меньше шума, более передо...

У Samsung Galaxy S24 и Honor Magic6 Pro обнаружился один общий компонент — это передовая память Micron На недавно завершившейся выставке MWC 2024 компания Micron официально представила свою новейшую память UFS 4.0. И, как оказалось, именно эту память выбрали Samsung и Honor для установки в свои флагманы Galaxy S24 и Honor Magic6 Pro соответственно. Особенность новой пам...

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

Супергибрид, который окажется круче Defender 110 и Land Cruiser 300. BYD показала серийный Leopard 8 BYD сегодня представила флагманский полноразмерный внедорожник Leopard 8. Все подробности будут раскрыты на следующей неделе по ходу Пекинского автосалона, но кое-что о новинке в компании рассказали. Машина будет построена на платформе DMO. Leopard 8 преподносится ка...

Передовое оборудование для водорода выиграло главный приз и 1 млн дол. в TERA-Award В Гонконге объявлены результаты третьей премии TERA-Award Smart Energy Innovation Competition, организованной компанией Towngas. Золотую награду и приз в размере 1 млн долларов США получил проект передового оборудования по производству щелочного водорода от китайской исследо...

Сбербанк начал производство собственных серверов для нужд своих дата-центров Собственное производство серверного оборудования позволит банку повысить технологический суверенитет и сократить издержки на оптимизацию.

Ученые получили сверхчеткие радиоизображения Вселенной на низких частотах Новый метод позволит изучать ранее недоступные явления, такие как плазма от древних взрывов черных дыр и экзопланеты у маленьких звезд. До сих пор наблюдения на низких частотах были невозможны из-за помех со стороны земной ионосферы.

Монструозные процессоры AMD Strix Halo с самым мощным iGPU смогут соседствовать со 128 ГБ ОЗУ. Такие связки тестирует сама AMD Уже через три недели на рынок выйдут ноутбуки с процессорами AMD Ryzen AI 300 линейки Strix Point. Но позже появятся намного более впечатляющие APU Strix Halo. И они засветились в таможенной базе NewBid Data.  Никаких технических подробностей там нет, но зато данн...

Huawei продала 30 миллионов Mate 60 за полгода Релиз серии смартфонов Mate 60 можно назвать условным началом восстановления позиций компании Huawei на локальном рынке Китае, и хотя у компании ещё предстоит долгий путь к её прежним глобальным продажам, её будущие релизы смартфонов имеют все шансы на головокружительный усп...

ЕС угрожает Китаю жёсткими мерами, а BYD заявляет о намерениях возглавить европейский рынок автомобилей на новых источниках энергии. В этом поможет флот огромных судов IT House сообщила 10 мая, что, по сообщению Jiemian News, Шу Юсин, генеральный менеджер европейского отдела продаж автомобилей BYD, заявил 9 мая по местному времени, что BYD стремится превзойти Volkswagen, Tesla и Stellantis к 2030 году и стать крупнейшим продавцом авто...

Apple Watch Series X получит увеличенный корпус Если верить информации различного рода инсайдеров, в предстоящих Apple Watch Series X компания Apple планирует реализовать множество различных нововведений, которые будут привлекать внимание потенциальных покупателей. Например, помимо более тонкого корпуса новая модель будет...

Исследователь заявляет, что взломал DRM-технологию Microsoft Основатель и глава польской исследовательской компании AG Security Research (ранее Security Explorations) Адам Говдяк (Adam Gowdiak) заявил, что обнаружил уязвимости в технологии защиты контента PlayReady компании Microsoft. По его словам, эти проблемы могут позволить недоб...

Испытания первого российского литографа уже начались Заместитель министра промышленности и торговли РФ Василий Шпак сообщил, что первый российский литограф уже создан и проходит испытания. О планах по выпуску отечественного литографа Шпак упоминал ещё в конце прошлого года. Пока оборудование такого уровня сложности собира...

Chery Tiggo 7 Pro Max российской сборки — очень скромные инвестиции и дата начала продаж Проект по сборке Chery Tiggo 7 Pro Max на калужском заводе AGR Automotive Group (бывший российский завод Volkswagen) потребует довольно скромных инвестиций по меркам автомобилестроения. Как сообщает российский завод Volkswagen, объём инвестиций в производство составит &...

В России появилась эффективная технология создания высокопрочных стекол Специалистами входящей в «Ростех» ОНПП «Технология» разработана и освоена уникальная малозатратная технология изготовления высокопрочных стекол, предназначенных для применения на транспорте, включая железнодорожный, а также на истребителях.

Освоить новую профессию или хобби: 6 курсов, которые помогут развиваться в дизайне и иллюстрации В подборке есть и небольшие программы для изучения графических редакторов или техник, и долгие — для полноценного освоения творческих диджитал-профессий.

Всё больше деталей для Lada Largus 2024 делают в России. Балаковорезинотехника в августе начнёт поставки уплотнителей проема задней двери Балаковорезинотехника (БРТ) освоил производство уплотнителей проема задней двери для универсалов и фургонов Lada Largus, о чем сообщило Министерство промышленности и энергетики Саратовской области. В пресс-службе Министерства промышленности и энергетики Саратовской обла...

Huawei ответила на американские санкции процессорами Kirin 9000SL 5G и Kirin 8000 5G. Это первые за почти 5 лет собственные SoC Huawei c 5G для смартфонов Инсайдер Digital Chat Station раскрыл подробности об «антисанкционных» однокристальных системах Huawei, которые будут использоваться в смартфонах линейки nova 12. По данным инсайдера, в топовой модели Huawei nova 12 Ultra пропишется SoC Kirin 9000SL 5G, а H...

Snapdragon 8 Gen 3, аккумулятор больше 5000 мА•ч и никакого изогнутого экрана. Появились новые данные о Redmi K70 Pro В Сети появились новые данные о смартфоне Redmi K70 Pro, который будет флагманом своей линейки.  Согласно данным инсайдера Digital Chat Station, новинка получит SoC Snapdragon 8 Gen 3, так что будет производительнее всех вышедших на данный момент смартфонов. Также...

Венчание молодоженов в зоне СВО провел священник отец Сергий В зоне СВО буквально в нескольких шагах от передовой прошла церемония бракосочетания и венчания. Волонтер Ольга Рыжкина рассказала историю судьбоносной встречи с будущим мужем и свадьбе. Девушка уверена: любви всегда и везде есть место, даже на войне, и считает случившееся п...

Петербуржцы смогут бесплатно освоить машинное обучение и работу с Big Data ПАО «МТС» открывает Школу аналитики данных, в программе – изучение и работа с ML-моделями, Big Data, программирование на Python.

Synopsys представила первый в отрасли контроллер PCIe 7.0 со скоростью передачи данных до 512 ГБ/с Пока SSD и видеокарты только-только освоили PCIe 5.0, уже готово решение для PCIe 7.0.

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

SK Group: переход на новые техпроцессы теперь снижает себестоимость чипов от силы на 10% Затраты растут.

Qualcomm не закрыла тему заказа в производство чипов компании Samsung По слухам, её интересует 2-нм техпроцесс южнокорейского подрядчика.

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

TSMC анонсировала 1,6-нм техпроцесс с обратной подачей питания Продукты на его основе ожидают в 2027 году.

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Руководство Huawei предлагает смириться с тем, что китайским производителям не покорится 3-нм техпроцесс Да и с 5-нм есть вопросы.

Четвёртый клиент Intel на техпроцесс 18A связан со сферой высокопроизводительных вычислений Имя его пока не раскрывается.

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

Ускорители NVIDIA Rubin будут выпускаться по 4-нм техпроцессу компанией TSMC Старый конь и в 2026 году борозды не испортит.

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

В Twitter появится функция принятия ставок на спорт X, ранее называвшаяся Twitter, объединяется с дочерней компанией MGM Resorts International, занимающейся онлайн-ставками, BetMGM, чтобы привнести статистику спортивных ставок на платформу, пишет Fortune. Цель партнерства — предоставить пользователям X коэффициенты ставок в р...

AMD Ryzen 7 8845H, 32 ГБ ОЗУ, 84 Вт•ч, более 11 часов без подзарядки. Представлен быстрый и лёгкий 16-дюймовый ноутбук Lenovo Компания Lenovo представила новые портативные компьютеры Xiaoxin 14/16 Ryzen Edition и Xiaoxin Pro 14/16 Ryzen Edition. По имеющимся данным, все тонкие и легкие ноутбуки Xiaoxin Ryzen Edition 2024 модельного года будут оснащены процессорами AMD Ryzen 7 8845H. При этом ...

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

Продажи Lada Vesta NG выросли в 26 раз за год, в этом году планируют выпустить вдвое больше машин В этом году производство флагманской модели АвтоВАЗа, Lada Vesta NG, увеличится до 150 тыс. единиц, то есть в два раза, поскольку годом ранее было выпущено около 75 тыс. машин. Об этом сообщила пресс-служба правительства Самарской области, которая добавила, что по ...

Cognizant представила лабораторию передового ИИ для ускорения исследования ИИ и инноваций Cognizant, ведущая мировая технологическая компания, объявила о начале работы вновь созданной лаборатории передового искусственного интеллекта (ИИ). Лаборатория, базирующаяся в Сан-Франциско, сосредоточится на развитии науки и практики применения ИИ за счет инноваций и разви...

Huawei разрабатывает инструменты для производства современных чипов Источник: atomic-energy.ru Компании Huawei Technologies удалось начать выпуск современных полупроводниковых компонентов по передовому техпроцессу. В этом помогает китайская организация SiCarrier, которая поставляет соответствующее оборудование. Сейчас обе компании стали со...

Знакомство с Loongson 3A6000 Итак, 28 ноября, в Национальном конференц-центре, компания Loongson Zhongke Technology официально представила и начала продажи созданного ранее в этом году (см. https://habr.com/ru/news/753250/ ) процессора Loongson 3A6000. Что же известно сейчас о новом процессоре ? Читать ...

Робот Boston Dynamics освоил работу на автомобильном производстве Робот-гуманоид Atlas от Boston Dynamics освоил работу на автомобильном производстве и теперь способен переносить некоторые части автомобилей. В новом видеоролике, опубликованном Boston Dynamics, показано, как робот Atlas выполняет «кинетически сложную» работу, перемещая…

Первые десять украинских летчиков завершили обучение управлению F-16 в Британии 10 украинских летчиков освоили базовые навыки пилотирования, прошли наземный инструктаж и языковую подготовку под кураторством инструкторов из королевских ВВС Британии.

Все новые смартфоны OnePlus станут монстрами автономности: они получат аккумуляторы ёмкостью 6100 мАч Все новые смартфоны OnePlus получат аккумуляторы ёмкостью 6100 мАч. Об этом сообщил инсайдер Digital Chat Station. Речь о недавно представленном аккумуляторе Glacier Battery. Причём при внушительной ёмкости разработчикам удалось уменьшить толщину элемента питания на 0,5...

Процессоры Intel Arrow Lake ещё не представили, а китайцы уже продают такие, причём всего за 14 долларов. Поставщик Xianyu раздобыл старые инженерные образцы Китайский поставщик Xianyu неожиданно начал продавать неаносированные процессоры Intel Arrow Lake. Причём буквально за копейки.  Предположительно, это старые инженерные образцы, которые должны были быть утилизированы. Как они достались Xianyu, неясно, но особого зн...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

AMD не боится конкуренции с Intel, даже если та достигнет технологического паритета с TSMC Техпроцесс не определяет всё в этом противостоянии.

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

Обновлённые ускорители вычислений AMD Instinct MI350 могут использовать 4-нм техпроцесс Текущая линейка основана на 5-нм.

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

ByteDance и Broadcom разрабатывают ИИ-чип с использованием 5-нм техпроцесса TSMC ByteDance, материнская компания TikTok, сотрудничает с Broadcom для разработки чипа искусственного интеллекта с использованием 5-нм техпроцесса TSMC.

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

В DigiTimes ожидают серию AI-чипов NVIDIA GB100 на базе 3-нм техпроцесса TSMC в 4 квартале 2024 года NVIDIA стремится завладеть преимуществом в бизнесе ИИ.

TSMC приступила к выпуску Intel Core Ultra 200V (Lunar Lake) по 3-нм техпроцессу В составе процессоров Lunar Lake будет iGPU на новой графической архитектуре Xe2-LPG.

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

Новый метод позволит обнаруживать мельчайшие дефекты в материалах Ученые разработали новый метод визуализации микроструктур в наномасштабе с использованием рентгеновских микроскопов. Этот подход позволяет обнаруживать малейшие трещины и включения, которые ранее были трудны для визуализации. Особенно в материаловедении и контроле качества т...

АвтоВАЗ: серийное производство Lada e-Largus стартует летом, а с 15 мая начнут собирать бензиновые машины Президент АвтоВАЗа Максим Соколов заявил, что серийное производство электромобиля e-Largus на заводе в Ижевске стартует летом этого года. Перед этим, уже с 15 мая, стартует массовое производство бензиновых Lada Largus, о чем пишет главный редактор «За рулем»...

В «Роскосмосе» разработают технологию подключения телефона к спутникам напрямую «Роскосмос» начал разработку технологии, которая позволит сотовым телефонам связываться напрямую со спутниками в любой точке Земли

Илья Завьялов: несколько слов о DeFi Взрывы FTX / Alameda, Genesis, 3AC, Terra / Luna и 987 других катастроф, которые мы пережили, позволили «ускорить» боль и избежать затянувшегося периода мрака и обреченности. Но надо понимать, рынок падал тяжело, и это действительно заняло много времени. Если обозн...

В США завершили подготовку 12 украинских пилотов, освоивших истребители F-16 Первые поставки американских истребителей Киеву власти Дании обещали начать уже в будущем июне

Тончайший керамоалюминиевый корпус, новейшие Ryzen AI 300 и экран OLED. Представлен ноутбук Asus Zenbook S 16 Компания Asus представила ноутбук Zenbook S 16 (UM5606), который может похвастаться новейшими процессорами AMD Ryzen AI 300 и корпусом из материала, который компания называет Ceraluminum. То есть, видимо, это керамоалюминий.  Такой материал позволил Asus сделать т...

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

«Есть надежда, что это будет на постоянной основе», — АвтоВАЗ начал собирать автомобили Niva, которые окрашены металлизированной эмалью «Платина» АвтоВАЗ начал собирать автомобили Niva, которые окрашены металлизированной эмалью «Платина», о чем сообщает инсайдерский паблик Avtograd News в соцсети «ВКонтакте». 20 декабря с конвейера ВАЗа начали сходить автомобили семейства NIVA как Legend т...

Heinz помогает ИИ освоить кулинарию с помощью кулинарной книги Компания Heinz в партнерстве с VML Spain стремится преодолеть разрыв между едой и технологиями, выпустив первую книгу рецептов, которая учит ИИ, а также и людей, профессионально готовить.

Micron представила очень компактный чип UFS 4.0 Судя по информации иностранных журналистов, новый накопитель типа UFS 4.0 от Micron имеет размер всего 9 мм на 13 мм, что делает его самым маленьким накопителем, созданным на текущий момент в мире. И что ещё более впечатляет, уменьшение размера не повлияло на его скорость по...

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

TSMC запустила производство 3-нм чипов для компании Intel Процессоры Arrow Lake для настольных ПК и Lunar Lake для мобильных устройств будут использовать 3-нм техпроцесс TSMC.

Несмотря на все американские санкции китайская SMIC уже готовится к освоению 3-нм техпроцесса Однако некоторые отраслевые эксперты сомневаются в её возможностях.

TSMC представила обновленную информацию о 2-нм техпроцессе, который появится в 2025 году Этот техпроцесс основан на технологии GAA (Gate-All-Around) и предоставляет огромный прирост производительности.

Востребованность старых техпроцессов в этом полугодии снижается Казалось бы, дефицит миновал, но на передний план выходят другие проблемы.

Samsung внедрит подвод питания с оборотной стороны кремниевой пластины в рамках 2-нм техпроцесса Ближе к 2027 году.

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

Samsung переименовала свой 3-нм техпроцесс в SF2 для конкуренции с Intel Интересно, что реально никаких технических изменений не произойдет, сменится лишь название с SF3 на SF2

Всё о Snapdragon 8 Gen 3. Платформа рассекречена до анонса В Сеть попали характеристики и описание платформы Snapdragon 8 Gen 3, причём речь идёт о рекламных постерах.  Итак, в конфигурацию SoC входит одно ядро Prime с частотой 3,3 ГГц, пять ядер Performance с частотой 3,2 ГГц и два ядра Efficiency с частотой 2,3 ГГц. &nb...

Starship собрали перед третьим запуском: опубликовано новое видео Миллиардер Илон Маск опубликовал видеоролик, в котором показан ускоренный видеоролик, демонстрирующий установку космического корабля Starship на ракету-носитель Super Heavy. Гигантская ракета уже готова к третьему испытательному полету, который может состояться уже на э...

Почему лёд скользкий, но не всегда? Почему лёд скользкий?Мы все знаем, что лёд скользкий.Но видим  именно скользкий лёд и ощущаем на себе его скользкость мы только в достаточно ограниченном числе типовых ситуаций.Так обычно это бывает в условиях «гололёда», когда твёрдую дорогу  покрывает тонкий слой...

Сборка игрового ПК на видеокарте и процессоре AMD за 75 000 рублей для любых игр в 1080р и 2К Свежая сборка начала марта для тех, кто не может себе позволить купить компьютер за 150 тысяч рублей, но хочет играть в новые игры с приличным качеством графики

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)