Социальные сети Рунета
Вторник, 2 июля 2024

Чипы-гиганты площадью почти 7000 кв.мм с потреблением в несколько киловатт. Новая версия технологии CoWoS позволит создавать такое к 2027 году Компания TSMC уже через несколько лет сможет выпускать гигантские чипы, которые будут превосходить текущих рекордсменов более чем вдвое.  фото: AMD Новая версия технологии упаковки CoWoS позволит TSMC уже через два-три года выпускать чипы с корпусами размером 120 ...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

TSMC уже работает с поставщиками оборудования над развитием прямоугольных кремниевых пластин Сообщается, что процесс перехода на новый стандарт может занять немало лет.

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

У Tesla есть процессор размером с iPad, который потребляет 15 кВт мощности. Стали известны подробности нового чипа Dojo У компании Tesla есть собственный суперкомпьютер Dojo, который уникален тем, что основан на чипах собственной разработки Tesla. Но компания уже занимается процессорами Dojo нового поколения, и это решение гигантских размеров.  Фактически новый чип Dojo — это...

Санкции США заставили Huawei вкладывать миллиарды, чтобы создать собственные машины для производства полупроводников Компания Huawei из-за санкций США не может создавать современные чипы, а темпы развития китайской SMIC явно не позволяют Huawei претендовать на какие-то значимые достижения. Однако компания уже вкладывает миллиарды, чтобы решить эту проблему.  фото: Huawei По данн...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Huawei может производить собственные чипы на 5 нанометрах Китайская компания SMIC, партнёр Huawei в области производства полупроводниковой продукции, недавно сообщила об успешной разработке 5-нанометрового технологического процесса без использования оборудования EUV (крупнейшая в мире компания по производству аппаратуры для данного...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Флеш-память 3D NAND нового поколения, возможно, будут производить при температуре -70 градусов. Hynix и Samsung тестируют криотравление Компания Hynix тестирует новую технологию, которую может использовать в ближайшем будущем для производства флеш-памяти. Речь о технологии криотравлении.  создано DALL-E Сейчас при производстве флеш-памяти используются процессы травления при температурах в диапазон...

Огромный чип на 4 триллиона транзисторов представила компания Cerebras Systems Для создания Cerebras WSE-3 используется вся 300-миллиметровая полупроводниковая пластина

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Новый инструмент Canon для производства пластин может изменить рынок оборудования Это даст возможность для удешевления производства современных микросхем

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Apple разрабатывает совершенно новые процессоры Сегодня появилась информация о том, что компания Apple активно работает над расширением производственных мощностей по технологии упаковки CoWoS, которая будет использоваться в ближайшем будущем для чипов нового поколения. Кроме того, инсайдеры уверены в том, что технологичес...

Непримечательная компания захватывает рынок: рост акций на 390% благодаря буму искусственного интеллекта и запатентованной технологии герметизации чипов Одним из бенефициаров растущего спроса на память с высокой пропускной способностью, вызванного развитием искусственного интеллекта, является компания Towa Corp. из Киото. Компания является производителем оборудования для микросхем, отвечающих потребностям разработч...

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Китай закупает оборудования для производства полупроводников по-максимуму на фоне санкций США Согласно последним таможенным данным материкового Китая, импорт оборудования для производства полупроводников в Китай вырос почти на 80% в октябре по сравнению с годом ранее. Импорт различных инструментов для изготовления микросхем, в том числе тех, которые используются...

Первое в Росссии контрактное производство сверхпроводниковых квантовых процессоров запустит Бауманка МГТУ им. Н. Э. Баумана и ФГУП «ВНИИА им. Н. Л. Духова» анонсировали открытие первого в России контрактного производства сверхпроводниковых квантовых процессоров на 100-мм пластинах. Производство будет располагаться в новом кампусе МГТУ им. Баумана ...

Эти китайские процессоры смогут потягаться хотя бы с современными Core i3? Loongson представила CPU 3B6600 и 3B7000 Компания Loongson представила новые потребительские процессоры: 3B6600 и 3B7000. Это новое поколение, которое, к кроме прочего, приносит больше ядер.  Многих подробностей пока нет, так как анонс, видимо, предварительный. Но слайды с презентации позволяют понять, ч...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Почему складные телефоны такие дорогие и станут ли они дешевле Что вы знаете о складных смартфонах? Наверное, первое, что приходит в голову — их стоимость. Они действительно очень дорогие на фоне остальных устройств, и поэтому до сих пор не стали массовыми. Но, как говорится, еще не всё потеряно, и знаком того, что они скоро подешевеют...

Intel нахваливает свой новый техпроцесс Intel 3, но процессоры Lunar Lake отдала на производство TSMC Вчера мы узнали, что TSMC приступила к массовому производству процессоров Intel Lunar Lake, основная плитка которых производится по техпроцессу 3 нм. При этом и сама Intel начала выпуск по нормам Intel 3, которые, как минимум согласно своему названию, должны быть сходны...

Российские HSM модули: Новый этап в защите данных платежных систем В начале 2024 года российские банки столкнулись с важным решением: перейти на оборудование отечественного производства для защиты транзакций по пластиковым картам. Этот шаг в рамках программы импортозамещения не только повышает безопасность финансовых операций, но и открывае...

Huawei разрабатывает инструменты для производства современных чипов Источник: atomic-energy.ru Компании Huawei Technologies удалось начать выпуск современных полупроводниковых компонентов по передовому техпроцессу. В этом помогает китайская организация SiCarrier, которая поставляет соответствующее оборудование. Сейчас обе компании стали со...

Влияние Nvidia на рынок становится огромным. Спрос на ускорители поколения Blackwell приведёт к большому росту продаж памяти HBM3e и спросу на CoWoS Аналитики TrendForce утверждают, что ускорители Nvidia Blackwell сильно повлияют на рынок.  Согласно прогнозам, уже в следующем году Nvidia поставит на рынок миллионы ускорителей нового поколения. Кроме прочего, это очень сильно повысит спрос на технологию объёмно...

Наконец-то заметный скачок: Samsung Galaxy Watch 7 получат очень быструю платформу и смогут работать долго без подзарядки По данным аналитика The Galox, однокристальная система Exynos W940, которая будет установлена в Samsung Galaxy Watch 7, будет примерно на 50% эффективнее и на 30% быстрее, чем SoC в предыдущей модели. Помимо обновления чипсета, Galaxy Watch 7, как сообщается, будут имет...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

США могут добавить в «чёрный список» поставщиков Huawei США рассматривает возможность внесения в «чёрный список» ряда китайских полупроводниковых компаний, связанных с Huawei Technologies, после того, как в прошлом году телекоммуникационный гигант совершил значительный технологический прорыв, о чем пишет Bloomber...

Китай нашел свободных от санкций поставщиков оборудования для производства чипов из Южной Кореи. Перспективы отрасли Мы не раз и не два писали о влиянии санкций США на китайские компании из отрасли производства и разработки электроники. Сначала казалось, что санкции действуют, и очень хорошо — у Китая начались сложности почти сразу после введения различных мер со стороны США. Но спустя не...

Toyota готовит мини-Land Cruiser и соверешенно новый внедорожник 340D Toyota начала разработку нового внедорожника для индийского рынка, который будет выпущен в начале 2026 года и станет ключевым продуктом для нового завода, о чем сообщает Reuters со ссылкой на осведомленных информаторов. Новый внедорожник C-сегмента под кодовым названием...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

12,9-дюймовый iPad Air появится в начале 2024 года, а OLED-модели iPad Pro с чипами M3 выйдут во втором квартале. Прогноз Минг-Чи Куо В своем последнем прогнозе Минг-Чи Куо предсказывает, что Apple компенсирует отсутствие изменений в линейке iPad в этом году, обновив все существующие модели, начиная с обновленного 10,9-дюймового и нового 12,9-дюймового iPad Air с новыми чипами, запланированными к масс...

Щековая дробилка: Эффективное решение в промышленности Щековая дробилка находит широкое применение в различных отраслях промышленности, включая горнодобывающую, строительную, химическую и металлургическую. Используется для дробления различных материалов, таких как камень, руда и другие твердые породы. Это один из наиболее востре...

В России надеются освоить производство 28-нм чипов к 2027 году В России существует надежда на развитие производства микропроцессоров с технологической нормой 28 нм на 300-миллиметровых кремниевых пластинах к 2027 году, согласно информации представленной замглавой Минпромторга Василием Шпаком в рамках форума "Микроэлектроника-2023".

Поставки голландских литографических систем для изготовления чипов в Китай взлетели в 10 раз за год На фоне текущих ограничений США, которые запрещают китайским фирмам покупать передовое оборудование для производства микросхем у американских компаний, наблюдается сдвиг в сторону других рынков. Импорт систем литографии из Нидерландов в Китай в прошлом месяце увеличился...

Группа компаний «Элемент» не справляется с растущим спросом на микроконтроллер MIK32 «Амур» Компания работает в полную мощность на своих производственных площадках, но этого недостаточно, чтобы удовлетворить спрос. Генеральный директор Юрий Коновалов подтвердил информацию и добавил, что компания рассматривает возможность строительства нового завода для увеличения м...

Стартап из Великобритании Space Forge готовит спутник для производства полупроводников в космосе Компания Space Forge потеряла свой первый экспериментальный спутник в январе во время неудачного запуска ракеты LauncherOne от Virgin Orbit. Новый спутник, названный ForgeStar-1, отправится в США для запуска в конце 2023 года или в начале 2024 года. Space Forge подписал...

В России собираются наладить серийное производство 65-нм процессоров к 2028 году Власти России утвердили план по развитию электроники и микроэлектроники до 2030 года: в нём прописано, что запуск серийного производство микросхем с топологией 65 нм должен начаться к 2028 году, о чем пишет «Коммерсантъ» со ссылкой на источники, близкие к пр...

NVIDIA будет выпускать видеокарты в два раза чаще обычного Можно с уверенностью сказать, что компания NVIDIA является бессменным лидером на рынке аппаратного обеспечения для технологий на базе искусственного интеллекта. Данный производитель зарабатывает примерно 90% от всей прибыли в этом сегменте и при этом спрос всё равно существе...

iPhone 16 Pro получит чип Apple A18 Pro, улучшенный специально для работы искусственного интеллекта По словам Джеффа Пу из Haitong International Tech Research, Apple планирует внести изменения в чип A18 Pro специально для искусственного интеллекта. Пу также пишет, что Apple наращивает производство чипов A18 Pro раньше обычного. Согласно данным из цепочки поставок, мы ...

Amazon внедряет технологию для устойчивого управления отходами Amazon активно работает над сокращением мусора, который генерируется в процессе деятельности компании. Компания заявляет, что в 2021 году использовала около 97 миллионам килограммов одноразового пластика в упаковке своих товаров. Однако, некоммерческая организация Ocean...

Как 3D принтеры используются в промышленных исследованиях и разработках? Руководство по покупке промышленного 3D принтера В этой статье вы узнаете, как 3D принтеры используются в разных отраслях и на что следует обратить внимание при выборе оборудования для каждой области промышленности.А перед тем как мы начнем, подпишитесь на наш Telegram! Там мы каждые две недели дарим 3D принтер! Все подроб...

Samsung готовит к релизу прямоугольные Galaxy Watch В далёком 2013 году компания Samsung официально выпустила свои новые умные часы под названием Galaxy Gear — это были первые умные часы компании прямоугольным экраном. За ними последовали Gear 2 и Gear Live в том же форм-факторе, но с тех пор компания полностью перешла на кру...

Китай скупает оборудование для выпуска чипов — Европа, США, Япония и Тайвань вместе тратят меньше Участники рынка сократили расходы на закупку оборудования для производства полупроводниковой продукции на 11 % по сравнению с аналогичным периодом прошлого года, до $25,6 млрд, о чем сообщила компания SEMI в своем отчете о мировой статистике рынка полупроводникового обо...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

McLaren теряет более $500 000 на каждой машине и решает выйти на рынок внедорожников Чтобы избежать финансовых трудностей, производитель суперкаров McLaren также выйдет на рынок внедорожников и кроссоверов. Компания в настоящее время испытывает большие финансовые проблемы. По данным журнала Road & Track, McLaren теряет более $500 000 на каждом прода...

GeForce RTX 4090 может справиться со сложными паролями за минуты. Hive Systems показали, на что способны современные ускорители и суперкомпьютер в этих задачах Компания по кибербезопасности Hive Systems показала, на что способны современные видеокарты в задаче подбора паролей. Оказалось, что очень на многое.  создано DALL-E Авторы взяли несколько видеокарт разных поколений: GeForce RTX 2080, RTX 3090, RTX 4090, а также с...

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Высокий процент брака и плохая энергоэффективность. У Samsung большие проблемы с 3-нм техпроцессом Ранее ходили слухи, что Samsung добилась успеха со своими 3-нм техпроцессами, а разработка 2-нм техпроцессов продвигается гладко. Но, по данным Businesskorea, 3-нм техпроцесс Samsung Foundry по-прежнему значительно уступает технологиям TSMC как по проценту бра...

Китай и 5-нм техпроцесс: компании SMIC, скорее всего, удалось освоить новые технологии производства чипов Китайские компании, которые занимаются разработкой и производством электроники, несколько лет находятся под весьма жёсткими торговыми санкциями США. Ряд организаций потерял возможность заказывать чипы у контрактных поставщиков вроде TSMC, что стало очень сильным негативным ф...

Подорожают вообще все новейшие чипы. TSMC собирается повысить цену на 3-нанометровую продукцию и отдельно на упаковку CoWoS На днях мы писали о том, что Apple, Qualcomm, Nvidia и AMD зарезервировали все мощности TSMC по выпуску 3-нанометровых чипов и собираются поднять цены. Теперь сообщается, что и сама TSMC сделает то же самое.  фото: MacRumor По данным China Times, TSMC намерена пов...

AMD будет производить новые процессоры на заводах Samsung Если верить информации западных инсайдеров, компания AMD намерена использовать технологический процесс от компании Samsung в 4 нм для разработки недорогих APU (система на кристалле, которая состоит из центрального и графического процессоров на одной пластине) нового поколени...

Накопитель MSI Spatium M580 Gen5 получит охлаждение с паровой камерой Компания MSI значительно продвинулась вперёд со своей серией твердотельных накопителей из серии Spatium. А на выставке Computex 2024 компания официально представила серию этих накопителей на базе стандарта Gen5, что достаточно интересно с точки зрения новых форматов охлажден...

Intel опередила Samsung и вернула себе лидерство на рынке полупроводников Компания Intel неожиданно вернула себе звание крупнейшего производителя полупроводниковой продукции по итогам 2023 года.  Фото: Walden Kirsch, Intel Со ссылкой на данные аналитиков Gartner, ресурс WCCF Tech сообщает, что по итогам прошлого года выручка Intel ...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Революция Huawei отменяется: новейшая 5-нанометровая SoC Kirin 9006C производится на мощностях TSMC, а не SMIC Похоже, Huawei вместе со SMIC всё же пока не совершили революции. Разборка нового ноутбука компании показала, что однокристальная система Kirin 9006C, которая производится по нормам 5 нм, на самом деле производится не китайской SMIC, а TSMC.  фото: TechInsigh...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Раскрывая потенциал GenICam и Harvester в системах компьютерного зрения Опыт работы с крупнейшими производственными площадками позволяет сказать, что на сегодняшний день целый ряд производств считает современные цифровые технологии и, в частности технологии искусственного интеллекта, неотъемлемой частью производства. В ряде компаний создана экос...

Nvidia ловит волну. Похоже, компания собирается выпускать новые ускорители для ИИ примерно каждый год Похоже, компания Nvidia собирается взять от своего роста на рынке ИИ как можно больше и как можно быстрее. Судя по всему, она собирается перейти на годичный цикл обновления ускорителей для ИИ.  Глава компании Дженсен Хуанг заявил, что новый чип после Blackwell уже...

Подорожают все новейшие чипы? Apple, Qualcomm, Nvidia и AMD зарезервировали все мощности TSMC по выпуску 3-нанометровых чипов и собираются повышать цены Тайваньская экономическая газета сообщает, что буквально вся продукция TSMC, производящаяся по нормам 3 нм, теперь зарезервирована всего четырьмя ведущими технологическими гигантами: Apple, Qualcomm, Nvidia и AMD. И это приведет к росту цен.   фото: Reuters Заказы...

Snapdragon 8 Gen 4 слишком дорогая, а Exynos 2500 «провалилась». Samsung может использовать SoC MediaTek для своих флагманских Galaxy S25 Смартфоны Galaxy S25 оказались в незавидном положении, выходом из которого может стать переход на платформы MediaTek.  Как сообщается, Samsung рассматривает возможность использовать для своих новых флагманов SoC Dimensity, хотя ранние слухи говорили только о Snapd...

Учёные создали первый в мире функциональный полупроводник из графена. Для него была создана технология получения эпитаксиального графена Чем ближе мир подбирается к техпроцессам около 1 нм, тем активнее говорят о том, что совсем скоро индустрии понадобится замена кремния. И, похоже, учёные создали один новый вариант — графен.  Точнее, сам графен известен уже не первый год, но учёные из Технол...

Китай начинает и выигрывает? Huawei и SMIC запатентовали собственный 3-нм техпроцесс У КНР, в целом, всё неплохо с индустрией разработки и производства электронных чипов. Если бы не одно «но»: большинство компаний из Поднебесной, которые этим занимаются, находятся под санкциями. Так что они не могут официально получать системы для производства чипов. И чем д...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Минобороны США ставит на новых производителей твердотопливных ракетных двигателей Министерство обороны США решило направить инвестиции на новых участников рынка твердотопливных ракетных двигателей. Это решение было принято в свете опасений по поводу зависимости от ограниченного числа отечественных поставщиков и стремительного роста спроса на такие дв...

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

Samsung назвала сроки начала массового производства новых батарей Чхве Юн Хо, президент южнокорейского аккумуляторного гиганта Samsung SDI, объявил на InterBattery 2024, крупнейшей выставке аккумуляторов в Южной Корее, что 46-миллиметровая батарея, разработанная компанией, будет запущена в массовое производство в начале 2025 года. Он ...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

TSMC готовит революцию в мире полупроводников - прямоугольные подложки вместо круглых TSMC повышает производительность в три раза, за счет более эффективного использования площади.

Бельгийский производитель Simera Sense получил $15 млн инвестиций на расширение производственных мощностей для кубсатов с улучшенным разрешением Бельгийский производитель фотоаппаратов Simera Sense привлек почти $15 000 000 на расширение мощностей по сборке систем за пределами Южной Африки и ближе к производителям компонентов в Европе с целью разработки продуктов для кубсатов с более высоким разрешением. Генерал...

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

ИИ может потреблять до четверти всей электроэнергии США уже к 2030 году. Такой прогноз озвучил глава Arm Активное наращивание мощностей для обучения ИИ требует всё больше энергии. Согласно некоторым подсчётам, при таких темпах уже к 2030 году данная индустрия может потреблять четверть всей электроэнергии США.  создано DALL-E С таким заявлением выступил глава Arm Рене...

Производитель твердотопливных ракетных двигателей X-Bow Systems получил контракт от ВВС США Трёхлетний контракт является частью соглашения общей суммой на $60 млн, анонсированного в апреле 2023 года. Контракт с X-Bow включает в себя $30 млн финансирования от ВВС США и $30 млн инвестиций от частных инвесторов. X-Bow будет работать в рамках программы AFRL &mdash...

Exynos 2400 сможет тягаться со Snapdragon 8 Gen 3? Новая платформа Samsung будет намного энергоэффективнее Топовые однокристальные системы Samsung Exynos из года в год в целом проигрывают флагманским решениям Qualcomm, и не в последнюю очередь из-за худшей энергоэффективности. Новая SoC Exynos 2400 в этом вопросе, возможно, станет исключением. Как сообщается, Samsung начнёт ...

Это изменит способ изготовления процессоров и GPU. Hynix работает над размещением памяти HBM4 прямо на кристалле CPU/GPU Память HBM4 появится на рынке лишь через несколько лет, но уже сейчас появилась информация о том, что появление этой памяти может быть сопряжено с небольшой революцией в области полупроводникового производства. Как сообщается, компания Hynix работает с Nvidia и другими ...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

iPad Pro получит стеклянную панель и OLED-матрицу В последнее время компания Apple испытывает трудности с прибылью, поскольку доход от продаж iPad снизился почти на 10 процентов от года к году. Ожидается, что этот тренд сохранится, так как Apple не смогла показать каких-либо существенных инноваций в этом направлении, а план...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

Huawei создала собственный процессор на 7 нанометрах Сегодня иностранные журналисты заявили, что компании Huawei и SMIC удивили представителей из США, представив 7-нм процессор Kirin 9000S, который эксперты отрасли считают технологическим прорывом из-за существующих торговых санкций. При этом специалисты отмечают, что крупнейш...

Память DDR5 сильно подорожает, и всё из-за огромного спроса на память HBM. Аналитики прогнозируют подорожание на 15-20% в следующем году И снова аналитики говорят об удорожании компонентов для ПК. На сей раз речь опять касается памяти DDR5, но причины уже другие.  создано DALL-E Аналитики TrendForce говорят о внушительном росте спроса на память HBM, что обусловлено использованием этой памяти в уско...

Какие складные телефоны есть кроме Самсунг. Покупает ли их кто-то Первый складной телефон появился в 2019 году. Это был Samsung Galaxy Z Fold первого поколения. По крайней мере, тогда его официально представили, хотя в массовой продаже он появился лишь спустя несколько месяцев. На следующий день показали HUAWEI Mate X, который был соверше...

Rocket Lab переоборудовала бывшуб штаб-квартира Virgin Orbit в центр разработки двигателей 4 октября состоялась церемония открытия центра разработки двигателей Rocket Lab. В здании площадью 13 400 квадратных метров будут производить двигатели Rutherford, используемые на ракете Electron, а также более крупные двигатели Archimedes для ракеты Neutron. Ранее здан...

Китайская компания SMIC готовится к переходу на 3-нм техпроцесс Китайский производитель полупроводников SMIC, как сообщается, создает команду для перехода на 3-нм техпроцесс. Однако неясно, как SMIC и Китай получат необходимое оборудование в условиях ограничений со стороны США.

Стартап K2 Space из Лос-Анджелеса привлёк финансирование в размере $50 млн на разработку «мега-спутников», рассчитанных на сверхтяжёлые ракеты Стартап K2 Space из Лос-Анджелеса привлёк финансирование в размере $50 000 000 для разработки «мега-спутников». Сейчас K2 Space работает над созданием спутников, совместимых с тяжёлыми и сверхтяжёлыми ракетами, которые уже находятся на рынке или планируется ...

Дилеры начали спекулировать ценами, за древней машиной выстроилась очередь. Производство Peugeot Pars (Peugeot 405) решили продолжить еще на три месяца Иранская автомобильная компания Khodro Bank объявила о продлении производства культового седана Peugeot Pars. Этот автомобиль представляет собой рестайлинговую версию легендарного Peugeot 405, выпускавшегося в конце 1980-х годов. Решение о возобновлении сборки Pars было...

Wuyang-Honda выпустила 20 000 000 мотоциклов Совместное предприятие Wuyang-Honda провело пресс-конференцию, на которой было объявлено о выпуске 20 миллионов мотоциклов. Компания Wuyang-Honda Motorcycle (Guangzhou) Co., Ltd. была основана в 1992 году в результате совместных инвестиций Guangzhou Automobile Group Co....

Создана самая маленькая ядерная батарея — с ней смартфоны будут работать 50 лет без подзарядки Разработанная в Китае ядерная батарея сможет увеличить время работы смартфонов до 50 лет Китайская компания Betavolt пытается совершить революцию в сфере электроники — она разработала ядерный аккумулятор, который можно использовать в смартфонах и другой портативной электрони...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Началось серийное производство газовых «Ситимаксов» Павловский автобусный завод (ПАЗ), входящий в «Группу ГАЗ», приступил к серийному производству автобусов ПАЗ-422320-14 «Citymax-9», работающих на компримированном природном газе (КПГ).  Прототип газового «Ситимакса» был впервые ...

Новости с рынка охлаждения серверов от Intel, Meta, Maruwa и не только Плотность мощности IT-оборудования внутри монтажных стоек во многих серверных фермах за последнее десятилетие увеличилась кратно. В отчете отраслевой организации AFCOM о состоянии центров обработки данных (AFCOM State of the Data Center Report) восьмилетней давности отмечало...

Специальное термопокрытие Adata позволяет заметно снизить температуру быстрой памяти DDR5 Компания Adata придумала, как дополнительно охладить современную довольно горячую память DDR5. Производитель создал некое термопокрытие, которое позволяет заметно снизить температуру. Технических подробностей нет, но, судя по всему, речь о каком-то веществе, которым по...

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

Компания Alphacool представила новую пластину Apex Distro Plate Y60 для корпуса HYTE Y60 Пластина обеспечивает оптическое обновление и имеет премиум-классные материалы

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

Только Apple успела впервые в истории возглавить рынок смартфонов, как ей пророчат огромный спад продаж iPhone Компания Apple по итогам 2023 года впервые за всю историю обошла Samsung и стала лидером всего рынка смартфонов. Несмотря на такой успех, ожидается, что по итогам текущего года продажи iPhone существенно снизятся.  Аналитик Минг-Чи Куо сообщает, что Apple уже сокр...

Новейший завод TSMC в «режиме спринта», с опережением графика, начнёт производство новейших чипов Apple Огромный завод TSMC в Аризоне ускоряет темпы подготовки к производству. Как пишет gizmochina, завод находится в «режиме спринта» и планирует начать пробное производство на своей первой производственной линии к середине апреля этого года. Если все пойдет глад...

Компания Space Forge получила финансирование в размере ?7,9 млн для строительства Национального центра исследований микрогравитации Space Forge получила финансирование в размере £7,9 млн от космического агентства Великобритании для строительства Национального центра исследований микрогравитации. Компания Space Forge получила почти 8 миллионов фунтов стерлингов от Фонда инфраструктуры космичес...

Max Space объявила о планах запуска самого крупного в истории модуля МКС Стартап Max Space обнародовал планы по разработке надувных модулей, которые, по мнению компании, можно сделать больше и дешевле, чем альтернативы, для расширения коммерческих космических станций и других применений. Max Space разрабатывает серию расширяемых модулей, пер...

США вкладывают миллиарды долларов в расширение производства чипов Администрация Байдена заявила в понедельник, что правительство предоставит 1,5 миллиарда долларов компании GlobalFoundries, производящей компьютерные чипы, для расширения ее внутреннего производства в Нью-Йорке и Вермонте. Это часть финансовой поддержки полупроводниковы...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

AMD готовит GPU с 288 ГБ памяти. Ускоритель Instinct MI325X выйдет уже в этом году Ускорители для ИИ AMD Instinct 300 предлагают рекордный для рынка объём памяти до 192 ГБ, а теперь компания рассказала, что уже в этом году выпустить модель с 288 ГБ памяти!  Такой моделью будет Instinct MI325X. Она получит 288 ГБ памяти HBM3e. Пропускная способн...

Samsung начнет пилотное производство полупроводниковых стеклянных подложек в этом году Samsung готовится начать пилотное производство полупроводников на стеклянных подложках в этом году, конкурируя с Intel и другими компаниями

Huawei смогла разработать собственную технологию производства 5-нм чипов. Перспективы нового метода и самой компании Как известно, на Китай наложены торговые санкции, которые не позволяют компаниям из Поднебесной закупать оборудование для производства современных чипов. Поэтому КНР приходится решать проблему налаживания производства процессоров и других современных электронных компонентов...

Площадь индустриального парка в Москве могут увеличат в три раза Заместитель мэра Москвы по вопросам экономической политики и имущественно-земельных отношений, Владимир Ефимов, объявил о планах значительного увеличения площади индустриального парка "Руднево" в столице. В настоящее время площадь составляет 215 тысяч квадратных метров и мож...

«Сбер» решил выпускать телевизоры диагональю до 75 дюймов в Новгородской области. Вся продукция будет проходить строгий контроль качества «Сбер» планирует расширить производство умных телевизоров в России, выбрав для этого Новгородскую область. Об этом сообщает инсайдерский канал «ё-Пром | Импортозамещение в промышленности». Компания намерена локализовать до 50% производства своих ...

В России научились производить алмазные пластины для электроники Российские учёные разработали технологию производства алмазных пластин для электроники

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Большой, аэродинамичный, экономичный и «грустный». Представлен совершенно новый Mercedes-Benz Actros Mercedes-Benz представила совершенно новый тягач Actros L. Грузовик получил новую кабину, унаследованную от показанного в прошлом году электрического eActros 600. Только за счет новой более аэродинамичной кабины удалось снизить расход топлива на 3%, еще 4% позволяет эко...

ExoTerra Resources привлекла $8 млн на наращивание производства двигателей для спутников на эффекте Холла Компания ExoTerra Resources со штаб-квартирой в Колорадо привлекла $8 миллионов на расширение производства двигательных систем для микроспутников. Финансирование предоставил Инновационный фонд Lago, входящий в состав Lago Asset Management. Последние инвестиции помо...

Новые iPad Pro появятся уже в марте По имеющейся информации, компания Apple выпустит новые модели iPad Pro к концу следующего месяца с большим количеством изменений. Например, инсайдеры уверены в том, что компания внесёт значительные апдейты в дизайн и форм-фактор планшета, так что планшеты получат большую диа...

Китай и тут пытается обойти санкции США. Компания Naura Technology взялась за литографические инструменты для производства чипов Санкции США не позволяют Китаю получать современные машины ASML для производства полупроводников по самым тонким техпроцессам. Решить этот вопрос быстро Китай не может никак, но он уже вкладывает средства и ресурсы в направления, которые могут помочь ему сделать это в б...

Очередь на 3-нм техпроцесс TSMC дошла уже до 2026 года Тайваньская компания TSMC отметила беспрецедентный спрос на свой новейший 3-нм техпроцесс. Крупнейшие клиенты, такие как Apple, Qualcomm, Nvidia и AMD, обеспечили очередь заказов до 2026 года. Резкий рост спроса обусловлен растущим использованием полупроводников в серверах и...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Нет, Huawei пока даже не собирается догонять конкурентов. Компания сейчас сосредоточена на техпроцессе 7 нм, так как у него ещё много проблем Компания Huawei сейчас имеет доступ к 7-нанометровому техпроцессу SMIC, и считается, что уже в это году SMIC освоит нормы 5 нм. При этом некоторые источники говорят, что и 3 нм не за горами. Однако сама Huawei, похоже, так не считает и говорит, что сейчас для неё новые ...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Ещё один тайваньский вендор откроет завод по производству чипов с ИИ Ещё один тайваньский чипмейкер, компания Powerchip Semiconductor Manufacturing Corp. (PSMC) построит новый завод по производству чипов и микросхем памяти для широкого спектра приложений, включая технологии ИИ. Но для этой компании строительство завода скорее не переход на но...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Intel рассказала, как искусственный интеллект помогал ей при разработке процессоров Meteor Lake Искусственный интеллект используется во многих сферах, и один из вариантов — разработка новых чипов. Intel поделилась информацией, как использовала ИИ при разработке своих новейших процессоров Meteor Lake.  создано DALL-E Судя по всему, пока ИИ используется ...

В России начнётся производство современных печатных плат в больших объёмах В скором будущем Россия может получить свое собственное производство печатных плат до 7-го класса точности (применяются в наиболее передовой электронике), о чем сообщает «Коммерсантъ» со ссылкой на источники на рынке электроники. По данным издания, мощн...

Huawei разрабатывает новый флагманский процессор Сегодня появилась достаточно интересная информация о том, что компания Huawei, бывший китайский гигант рынка смартфонов, активно разрабатывает флагманский процессор серии Kirin для своего грядущего гаджета. Здесь хотелось бы напомнить, что производитель выпустил процессор Ki...

На китайском авторынке появятся сотни «заводов-зомби», считает Financial Times Стремительный переход на электромобили в Китае привел к серьезным проблемам для производителей традиционных автомобилей с двигателями внутреннего сгорания (ДВС). Как сообщает Financial Times, на китайском рынке в ближайшее время могут появиться сотни так называемых &laq...

Электромобили уже через пару-тройку лет займут четверть дорожного трафика Использование электромобилей (EV) набирает обороты. Так, недавний отчет JD Power развеял опасения, что потребители не готовы к переходу на них. На самом деле, 29,2% покупателей автомобилей в США с большой вероятностью выберут EV в качестве своей следующей покупки, что на 3% ...

Samsung и AMD заключили очень крупный для обеих компаний контракт. Samsung будет поставлять AMD новейшую 12-слойную память HBM3e Компания Samsung заключила соглашение с AMD на сумму в 3 млрд долларов. В рамках этого соглашения Samsung поставит AMD новейшую память HBM3e.  Речь о новейшей разработке Samsung в виде 12-слойных стеков HBM3e, массовое производство которых начнётся позже в этом го...

Заменитель хитового кроссовера Changan CS75 Plus: первое изображение совершенно нового Changan C928 Changan опубликовала первое официальное изображение кроссовера Changan C928 — он, по данным китайских СМИ, выступит заменой очень популярной модели Changan CS75 Plus. Увы, машина на фото закамуфлирована — чтобы не раскрывать детали раньше времени, но е-что ...

Алмазные батареи из ядерных отходов — смогут ли они заменить литий-ионные аккумуляторы Ученые разработали технологию создания батарей из ядерных отходов В настоящее время сразу две компании, Arkenlight и NDB, работают над технологией, позволяющей производить алмазы из ядерных отходов и впоследствии их использовать для создания батарей. Впервые об этих технол...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

LG открывает завод по производству зарядных устройств для электромобилей Компания LG Electronics (LG) расширяет инфраструктуру решений для зарядки электромобилей (EV), открыв свой первый завод по производству зарядных устройств для электромобилей за пределами Южной Кореи, где в прошлом году компания запустила предприятие для изготовления зарядны...

SAIC может стать первым автопроизводителем, который запустит массовое производство твердотельных аккумуляторов Китайский производитель автомобилей SAIC заявляет, что начнет массовое производство твердотельных аккумуляторов примерно в 2026 году, а это означает, что он может стать первым автопроизводителем, который начнет коммерциализировать подобные аккумуляторы. Во время недавне...

Пластины большего размера в модулях Astronergy ASTRO N7 удешевят солнечные электростанции Компания Astronergy, первопроходец в области производства фотоэлектрических модулей TOPCon n-типа, объявила о пополнении серии модулей ASTRO N7 TOPCon новыми линейками изделий на 66 прямоугольных ячейках, которые готовы к запуску в серийное производство и предлагают клиентам...

«Ноздри» BMW изменились практически до неузнаваемости Массивные решетки радиатора на современных BMW 7-й серии, M3, iX и XM были встречены критикой среди многиз поклонников. Теперь же BMW внесла очередное изменение в решетку BMW 7-й серии. Система BMW Personal Pilot L3 — это система помощи водителю, которая в марте с...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

RTX 5060 получит меньше ядер CUDA, чем RTX 4060, и не получит GDDR7. Появились спецификации всех GPU Nvidia нового поколения Известный инсайдер kopite7kimi, который является одним из самых надежных, когда речь идет о видеокартах, поделился характеристиками всех GPU Nvidia нового поколения.  Всего их будет пять, и привычные названия позволяют примерно спроецировать параметры GPU на те ил...

Vivo X200 и X200 Pro могут стать первыми смартфонами на 3-нанометровой Dimensity 9400 Ожидается, что в октябре Qualcomm анонсирует чипсет Snapdragon 8 Gen 4, а MediaTek собирается представить Dimensity 9400. Инсайдер Digital Chat Station сообщил, что Vivo станет первым брендом, выпустившим смартфон на базе Dimensity 9400. По словам источника, Dimensity 9...

Next Big Thing от Apple после отмены проект автомобиля — это домашние роботы. Компания уже работает над проектом Как известно, компания Apple отказалась от проекта собственного автомобиля спустя много лет работы. Изначально сообщалось, что вместо этого компания усилит направление искусственного интеллекта, но теперь Bloomberg говорит, что новой большой идеей Apple может стать робо...

Что нового появится в MacBook Air M4 и когда он выйдет В марте Apple выпустила следующее поколение одних из самых популярных ноутбуков компании MacBook Air. В новой версии лэптопы сохранили прежний дизайн, диагональ экрана и получили процессор Apple M3, выполненный по 3-нм техпроцессу и гарантирующий компьютерам высокую произво...

Современные подходы к управлению проектами Управление проектами – это область, которая постоянно развивается и адаптируется к изменяющимся условиям рынка. В современном мире, где скорость изменений становится все быстрее, важно быть в курсе новейших методов и подходов. Для этого отлично подходят курсы по Project-мен...

Это жидкостная система охлаждения для SSD. Teamgroup T-Force Siren GD120S AIO пригодится для горячих накопителей с PCIe 5.0 Не секрет, что SSD с интерфейсом PCIe 5.0 требуют охлаждения. Зачастую можно ограничиться пассивными СО, но многие производители делают активные кулеры. И если первое время это удивляло, то жидкостная система охлаждения для SSD кажется и вовсе чем-то из ряда вон, а имен...

27-летнюю Windows NT 4.0 запустили на современном процессоре Intel без эмуляции Современные потребительские процессоры не поддерживают очень старые версии Windows. Это отлично знают любители старых компьютерных игр, которым под их задачи приходится собирать ПК для ретрогейминга. Однако энтузиаст с псевдонимом O_Mores сумел запустить Windows NT 4.0 ...

Станки для печати 5-нм чипов Canon стоят в 10 раз меньше машин ASML Компания Canon планирует продавать свое новое оборудование для производства микросхем по гораздо более низкой цене, чем у литографических машин ASML. Новая технология наноимпринтинга (nanoimprint), разработанная токийской компанией, предоставит возможность мелким произв...

Зачем в СССР выпускали молоко в треугольных пакетах Молоко в треугольных пакетах стало одним из символов СССР Люди, которые жили в Советском Союзе или хотя бы немного застали эту эпоху, хорошо помнят молоко в пакетах в виде пирамидок. Оно стало одним из символов той эпохи, так как появилось в далеком 1959 году и оставалось на...

Apple разрабатывает складной планшет или даже ноутбуки Судя по последней информации, компания Apple в конечном итоге всё же выйдет на рынок складных устройств, так как на рынке уже достаточно качественных дисплеев и поставщиков. Например, если верить информации инсайдеров, компания Samsung перестраивает свою команду разработки д...

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Samsung хочет возглавить рынок объемом 100 млрд долларов. Чипы 3D DRAM могут иметь ёмкость до 100 ГБ Компания Samsung Electronics нацелилась на то, чтобы стать лидером на рынке памяти 3D DRAM. Это объявление было сделано на конференции Memcon 2024, где компания представила ряд новинок. Поскольку ожидается, что во второй половине этого производство DRAM будет вестись по...

«Honor больше не может быть Huawei», — Чжао Мин уверен, что Honor превзойдёт всех конкурентов Генеральный директор Honor Чжао Мин заявил, что его компания уже «не может быть Huawei», отметив, что они собираются превзойти всех конкурентов на рынке. Отвечая на вопрос, что общего и разного между Honor и Huawei, Чжао Мин ответил, что Honor можно сравнить...

Охладить серверы в ЦОД помогут новые продукты Marathon, Samsung, Copeland и не только Ученые используют компьютерное моделирование в разработке более эффективных и безопасных вакцин. Занятой руководитель экономит время, поручая ChatGPT составить электронное письмо. Осматривая свое содержимое, “умный” холодильник подсказывает владельцу, что купить ...

Один чип по производительности как четыре Apple M2 Ultra. Apple создала такой для своего отмененного автомобиля У Apple были амбициозные планы относительно своего электромобиля, включая создание усовершенствованной системы автономного вождения. Для этого компания разработала чип, эквивалентный по производительности четырем Apple M2 Ultra. Марк Гурман из Bloomberg заявил, что кома...

Apple выпустила iOS 17.5 для всех желающих. Вот какие функции появятся после обновления Айфона Это случилось: наконец Apple выпустила iOS 17.5 для всех желающих! Купертиновцы работали над апдейтом около полутора месяцев, но обновление должно получиться сбалансированным. По крайней мере, во время бета-тестирования сборки на форумах пользователи все реже жаловались на ...

Какой дизайн получит iPhone 16 и чем он будет отличаться от iPhone 15 Вместе с iPhone 16 Pro и iPhone 16 Pro Max Apple покажет нам еще два смартфона: iPhone 16 и iPhone 16 Plus. Эти аппараты идеально подойдут для тех, кто не готов тратить большую сумму на “прошки” или просто не видит смысла выкладывать за смартфон такие деньги. Вот только пос...

Эти процессоры Intel в конце 2024 года предложат максимум четыре больших и четыре малых ядра. Cirrus Logic поможет сделать платформу Lunar Lake эффективнее Компания Intel уже говорила, что создаёт мобильные процессоры Lunar Lake в первую очередь с большим упором на энергоэффективность. Оказалось, что в этом вопросе Intel будет полагаться не только на себя.  фото: Intel Повысить энергоэффективность платформы Lunar Lak...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Инновационную «микрофабрику» Hyundai, заполненную роботами и кибер-собаками Spot, показали на видео Интересный видеоролик, демонстрирующий новый завод по производству автомобилей Hyundai, опубликовало издание Wall Street Journal. В нем показывается, как Spot, робот-собака Boston Dynamics, выполняет контроль качества, автономные роботизированные руки закручивают болты ...

Apple, а не ждёт ли нас прирост на 5%, как у Intel? Появились характеристики SoC M3, M3 Pro и M3 Max, которые компания может показать уже сегодня Уже сегодня ночью компания Apple проведёт мероприятие, на котором, кроме прочего, как ожидается, анонсирует новые SoC линейки M3.  И свежие данные от журналиста Bloomberg говорят о том, что новые платформы могут оказаться не особо-то и быстрее текущих. По крайней ...

По Cyberpunk 2077 снимут полноценную экранизацию У нас просто отличные новости — появилась информация о том, что в ближайшем будущем будет запуск разработки экранизации видеоигры Cyberpunk 2077 от CD Projekt Red. Польский разработчик игр сотрудничает с медиа-компанией Anonymous Content, которая работала над сериалами «На п...

Использование 3D печати в разных отраслях 3D оборудование постепенно становится все более доступным и завоевывает популярность не только у любителей, но и в производственной среде. 3D печать используется для производства продуктов в разных отраслях - здравоохранении, моде, автомобильной и строительной промышленности...

Huawei больше не может покупать чипы Qualcomm и Intel Согласно информации издания Reuters, правительство США отозвало лицензии Qualcomm и Intel на продажу чипов компании Huawei. Это значит, что компания Huawei больше не сможет официально покупать и использовать чипы от Qualcomm или Intel, что, по словам специалистов, практическ...

Мощи Snapdragon 8 Gen 4 достаточно, чтобы конкурировать даже с Apple M2. В Сети появились первые тесты Пока на рынке только начинают появляться первые смартфоны с SoC Snapdragon 8 Gen 3, в Сети уже появились результаты тестирования Snapdragon 8 Gen 4.  Само собой, речь не может идти о серийных платформах, так что к результатам стоит относиться соответствующе. Но он...

Электроснабжение ЦОД: новости от ECL, Holtec, Eaton, Solaria, Digital Edge Потребление электроэнергии в центрах обработки данных стремительно растет. Восходящий тренд фиксируется во многих странах, включая США и Россию. В ряде регионов происходящее вызывает опасения, что на горизонте проблемы с энергоснабжением. Эти опасения особенно часто озвучива...

«Мы не хотим сдаваться», — Toyota не откажется от спортивных автомобилей с двигателем внутреннего сгорания. Они могут обрести новую жизнь благодаря водороду Toyota полагает, что спортивные автомобили с двигателями внутреннего сгорания смогут получить новую жизнь благодаря переходу на водород. Анонс новых электромобилей Toyota вовсе не означает, что двигатель внутреннего сгорания находится на последнем издыхании. Менеджер Ga...

Huasun представила первые в мире солнечные панели 210R на основе HJT Everest G12R, первая в мире линейка прямоугольных солнечный панелей с гетеропереходом (HJT), была официально выпущена на рынок компанией Huasun Energy, ведущим в мире поставщиком вертикально интегрированных услуг и продуктов с гетеропереходом. В основе панелей серии Everest ...

Huawei Kirin 9000S оказался сильно слабее конкурентов Возвращение компании Huawei на рынок смартфонов с чипом Kirin 9000S в сети назвали настоящим чудом, но это не отменяет того факта, что этот процессор значительно медленнее конкурентов. Это было вполне ожидаемо, поскольку SMIC, партнёр Huawei по производству, в настоящее врем...

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Корпус из поликарбоната, лютые дроп-тесты и «пустая» iOS без App Store. Как Apple создает Айфоны на самом деле Apple известна своим трепетным отношением к производству техники: у компании очень высокие стандарты качества, за счет чего в ее продукции меньший процент брака, чем у других производителей. Об этом наверняка слышали все, но детально с такими вещами мало кто знаком. С одной...

Samsung создаёт Exynos 2500 на 2 ни Иностранные инсайдеры сообщают, что компания Samsung, видимо, решила сосредоточиться на своей технологии производства чипов на 2 нм, и, согласно новым данным, компания уже даже тестирует свой новый процессор на базе этого технологического процесса, что, теоретически, может с...

Росэнергоатом запустит самый большой дата-центр уровня Tier IV в России «ЦОД «Москва-2» станет самым большим дата-центром в России и первым объектом в сети Росатома с таким высоким уровнем надежности. При его создании используется современное и надежное оборудование всех систем жизнеобеспечения. Открытие такого дата-центра поз...

Росэнергоатом запустит самый большой дата-центр уровня Tier IV в России «ЦОД «Москва-2» станет самым большим дата-центром в России и первым объектом в сети Росатома с таким высоким уровнем надежности. При его создании используется современное и надежное оборудование всех систем жизнеобеспечения. Открытие такого дата-центра поз...

Google может перейти на чипсеты TSMC для Pixel 10 Телефоны Google Pixel могут претерпеть значительные изменения в 2025 году, поскольку, по некоторым данным, компания планирует перейти с Samsung Foundry на TSMC для своих процессоров Tensor. Инсайдер Revegnus заявил, что TSMC будет производить чипсеты для серии Pixel 10 и пос...

Мощности Huawei ограничены. Смартфоны Huawei Mate 60 не выйдут даже на тайваньском рынке Серия смартфонов Huawei Mate 60 вызвала ажиотаж после анонса, смартфоны активно раскупают. При этом официальные лица Huawei подтвердили, что серия Mate 60 не будет выпущена на зарубежных рынках. На вопрос о том, есть ли у серии Mate 60 шанс появиться хотя бы на тайваньс...

Micron решил расширить производство памяти HBM3E до Малайзии Micron намерена расширить количество мест для производства памяти с высокой пропускной способностью (HBM), стремясь увеличить свою долю рынка до примерно 25% в течение года, говорится в отчете Nikkei. Компания планирует увеличить производственные мощности на Тайване, усилить...

«Через 10 лет компьютеры будут делать это в миллион раз быстрее». Глава Nvidia не считает, что нужно вкладывать триллионы долларов в производство чипов для ИИ Несмотря на то, что Nvidia сейчас является чуть ли не основным выгодополучателем от роста интереса к ИИ, глава компании Дженсен Хуанг (Jensen Huang) не считает, что в отрасль нужно вкладывать дополнительные триллионы долларов.  Если вы просто предположите, что ком...

Китайские бренды захватят почти 70% российского авторынка и покорят 33% мирового рынка машин и до конца десятилетия, согласно новому прогнозу AlixPartners Китайские автомобили в настоящее время занимают 21% мирового рынка, при этом аналитики ожидают, что китайская автомобильная промышленность будет быстро расширяться и займет 33% к 2030 году. Часть роста будет происходить за счет самого китайского рынка, который заменит н...

Новые iPhone будут лучше ловить сигнал: Apple подписала соглашение с UMC на поставку новых чипов Компания Apple подписала соглашение с новым производителем чипов, о чем пишет gizmochina со ссылкой на источники из цепочки поставок. Согласно свежим данным, UMC заключила контракт с Apple на производство ключевых чипов для будущих. Эти чипы будут интегрированы в констр...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

В Китае тоже «чипировали» человека — у Neuralink появился сильный конкурент Кажется, мир перешагнул за порог изобретения интерфейса «мозг-компьютер» В конце января 2024 года американский предприниматель Илон Маск сообщил, что принадлежащая ему компания Neuralink успешно внедрила чип в голову человека. Как можно было понять из сообщений в СМИ, на мом...

АвтоВАЗ переходит на отечественные детали для Lada из российского композита: на Granta уже устанавливаются наши бензобаки В рамках программы импортозамещения АвтоВАЗ начал использовать бензобаки, изготовленные из российского композитного материала. Поставщик бензобаков, компания «ДИПО», успешно заменила импортный полиэтилен низкого давления (HDPE) на аналогичный материал, произ...

Современные CPU Intel настолько горячие, что EK Water Blocks представила жидкостную СО специально для скальпированных процессоров Современные топовые процессоры Intel очень и очень прожорливые и горячие. Это привело к тому, что всё больше пользователей обращаются к процессу скальпирования. И теперь компания EK Water Blocks представила первую в мире жидкостную систему охлаждения именно для таких CP...

Генеративный ИИ: названы 5 примеров использования для бизнеса Мир претерпевает значительные изменения, и на переднем плане находится новая мощная технология: генеративный ИИ (GenAI). Этот инструмент использует машинное обучение для создания совершенно нового контента, от текста и изображений до музыки и кода. Находясь еще на ранних ста...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Ростех разработал 25-мегаваттный промышленный двигатель для топливно-энергетического комплекса По сообщению госкорпорации «Ростех», «Объединённая двигателестроительная корпорация» в декабре текущего года завершит испытания первого опытного образца нового индустриального двигателя АЛ-41СТ. Эта разработка мощностью 25 МВт предназначена для и...

Умные часы Kieslect пришли на российский рынок В портфеле компании diHouse появился новый бренд умных часов Kieslect и партнерам предлагается широкая линейка разноплановых моделей. Спрос на умные часы стабильно растет с каждым годом. По данным аналитиков в 2023 году в России было продано 5,8 млн умных часов на 45,8 м...

Как США не помогли даже санкции. 7-нм чип SoC Huawei Mate 60 Pro был изготовлен на оборудовании ASML По словам источников, на которых ссылается Bloomberg, китайская компания Semiconductor Manufacturing International Corp. использовала оборудование ASML Holding NV для производства современных однокристальных систем для китайских смартфонов Huawei. Несмотря на американск...

Canon представила коммерческую установку, позволяющую «печатать» 5-нанометровые чипы без фотолитографии Canon представила установку под обозначением FPA-1200NZ2C, позволяющую производить 5-нанометровые чипы. Это первое коммерческое решение такого рода, но будут и другие: Canon в будущем доработает систему так, чтобы с ее помощью можно было производить 2-нанометровые чипы....

«Самые энергоэффективные нейроны во Вселенной». Стартап Extropic представил принципиально новый подход к вычислениям ИИ и создал прототип алюминиевого процессора Возможно, на рынке вычислений ИИ в обозримом будущем произойдёт ещё одна революция. Как минимум стартап Extropic говорит, что стремится именно к этому.  Заявления у компании действительно весьма серьёзные. В Extropic говорят, что они создали подход к вычислениям, к...

Под песками возле пирамид Гизы археологи обнаружили загадочные сооружения Ученые обнаружили большие подземные сооружения возле Великой пирамиды. Источник фото: onlinelibrary.wiley.com Ученые на протяжении многих лет пристально изучают пирамиды Гизы, и при этом по сей день продолжают периодически совершать крупные открытия. На этот раз исследовател...

Нидерланды запретили экспорт оборудования ASML и полупроводников в Китай Нидерланды наложили ограничения на экспорт передовых технологий ASML, ключевого игрока в мировой полупроводниковой промышленности, в Китай. ASML известна своими передовыми системами литографии, имеющими решающее значение для производства новейших микрочипов. Технология ...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

NASA и Boeing разрабатывают экологичный самолёт X-66 для достижения нулевых выбросов в авиации Изменение климата, возможно, самая серьёзная угроза, с которой сегодня сталкивается весь мир. Согласно Шестому оценочному докладу Межправительственной группы экспертов ООН по изменению климата, к середине столетия средняя глобальная температура увеличится на 2°C. Чт...

[Перевод] Почему я не буду использовать Next.js Представьте, что вы планируете начать новый проект или использовать в существующем более современный подход. А, может, вас просто не устраивает используемый фреймворк, и вы подумываете об альтернативах. В любом случае вам нужно что-то выбрать. Существует множество «совреме...

Nokia, живи. В текущем году на рынок выйдет минимум 17 новых мобильных телефонов Nokia Как известно, компания HDM Global решила сконцентрироваться на собственном бренде на рынке смартфонов вместо использования бренда Nokia. Однако мобильные аппараты Nokia как минимум в этом году точно никуда с рынка не денутся. Более того, появится минимум 17 новых моделе...

Auden Group продемонстрирует свои передовые технологии на MWC 2024 в Барселоне Auden Group, один из ведущих в отрасли поставщиков антенн и решений в области связи, продемонстрирует на MWC 2024 в Барселоне свои передовые технологии в области антенн миллиметрового диапазона (mmWave), а также новые решения, поддерживающие открытость, совместимость и устой...

Один из крупных производителей компонентов для HDD закрывает завод и увольняет около 600 человек Крупный поставщик компонентов для HDD уволил несколько сотен сотрудников и собирается полностью закрыть бизнес.  создано DALL-E Речь о компании Resonac, которая является (или теперь уже являлась) крупным производителем тонких пленок, используемых на поверхности пл...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Oxford PV установил новый мировой рекорд по эффективности солнечных панелей Инженеры компании Oxford PV разработали тандемный солнечный элемент, который сочетает в себе традиционный кремниевый элемент с материалом перовскитом. Перовскит эффективно поглощает свет во всём видимом спектре, что делает его перспективным ...

Когда выйдет AirTag 2 и каким он будет. Здесь все слухи про новую смарт-метку Apple Здесь все слухи про AirTag 2 Одним из самых незаметных, но в то же время универсальных гаджетов от Apple на сегодняшний день объективно является AirTag. Низкий спрос на устройство вкупе с его долговечностью позволяют ему продаваться по той же цене, что и в момент выхода R...

Любой современный процессор может сделать производительнее в 100 раз. Компания Flow представила блок PPU, который способен на это Молодая компания Flow Computing утверждает, что разработала технологию, которая позволит увеличить производительность CPU вплоть до 100 раз. И эта технология совместима со всеми основными используемыми на рынке архитектурами.  Свою разработку компания называет PPU...

Обзор корпусных вентиляторов ID-Cooling AS-140-K Сегодня на рынке ПК-комплектующих немного странная ситуация: раньше компьютеры были огромными и требующими сложного обслуживания, но со временем стали уменьшаться, благодаря чему смогли стать персональными, но сейчас многие компоненты снова увеличиваются в размерах и стано...

Новая функция Android 15 сделает блокировку смартфона более удобной Android 15 принесет множество новых функций и изменений в наши телефоны. В целом, ничего принципиально нового в свежей операционной системе не будет, но именно мелкие улучшения позволят сделать ее более приятной для пользователей. Я часто спорил с людьми на тему автоматичес...

Гаджеты недели 21 мая 2024 года Предлагаем вашему вниманию: портативная колонка Bose SoundLink Max с мощным звуком; камера мгновенной печати Polaroid Go 2; компактная игровая клавиатура Logitech Pro X 60, а также другие интересные гаджеты. Портативная колонка Bose SoundLink Max с мощным звуком Д...

Нидерланды разрабатывают план для удержания компании ASML в стране ASML является крупнейшим в мире производителем оборудования для производства пластин и владеет ключевыми технологиями, необходимыми для производства передовых чипов

Blue Origin представила детали, характеристики и потенциал своего космического буксира Blue Ring В октябре прошлого года компания Blue Origin представила космический буксир Blue Ring, который, согласно заявлениям, должен стать инновационным решением в области космической логистики и доставки. На данный момент о техническом потенциале Blue Ring известно немного, одн...

Представлен современный битопливный автомобиль с газовым оборудованием российской сборки. Первая партия уже готова «Автотор» и «Газпром газомоторные системы» представили новую версию BAIC U5 plus. Этот автомобиль оснащен газобаллонным оборудованием и был произведен на заводе в Калининградской области. Для этой модели было использовано современное газовое обо...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Новости с рынка модульных ЦОД от Eaton, NTT, Vertiv, Compass, Modular Data Centers Модульная архитектура ЦОД решает ряд проблем традиционных дата-центров, включая высокий PUE и значительные эксплуатационные расходы, а также существенное негативное воздействие инфраструктуры на окружающую среду. Развертывание модульных ЦОД с использованием шаблонизированног...

Toyota будет выпускать автомобили с бензиновыми двигателями, пока ДВС не запретят Toyota не торопится выпускать электромобили, а ее подразделение по автоспорту и спортивным автомобилям Gazoo Racing считает, что двигатели внутреннего сгорания по-прежнему имеют блестящее будущее. Toyota Gazoo Racing продолжает делать инвестиции в технологию ДВС, котора...

Совершенно новый Nissan Leaf выйдет в следующем году, он будет полноприводным. Все подробности Nissan Leaf – один из самых популярных электромобилей в России на вторичном рынке, но если говорить о глобальном рынке новых авто, то под натиском современных моделей продажи Leaf существенно просели: по данным Dataforce, в октябре текущего года в Европе было прод...

Производство 2-нанометровых чипов обойдётся на 50% дороже 3-нанометровой технологии Возможность производства нового поколения пластин с поддержкой 2 нм может стоить примерно 28 миллиардов долларов

Совершенно новый тип памяти, который может появиться на видеокартах? Hynix работает над памятью, которая будет быстрой, но не такой дорогой, как HBM Компания Hynix работает над новым типом памяти, который можно будет использовать для видеокарт и мобильных устройств.  Названия у памяти пока нет, но можно сказать, что это нечто среднее между HBM и DRAM, хотя первая фактически является своеобразным вариантом втор...

Это санкции США вызвали катастрофу? За текущий год в Китае закрылось почти 11 000 компаний, связанных с производством чипов: это по 30 компаний в день Когда SMIC удалось выпустить для Huawei 7-нанометровую SoC, можно было бы подумать, что санкции США только укрепляют силу китайских производителей чипов, хотя американцы заявляют, что всё не так просто. Однако данные DigiTimes указывают на то, что на самом деле ситуация...

Ученые хотят восстанавливать “мертвые” орбитальные спутники Ученые планируют заправлять «мертвые» спутники, чтобы продлить срок их использования Околоземное пространство, как известно, захламлено различным мусором, количество которого постоянно увеличивается. В основном он состоит из старых спутников, так как они перестают ...

Hyundai Palisade 2025 будет таким же радикальным, как новый Santa Fe: «Мы собираемся угодить нынешним клиентам и завоевать новых поклонников» Hyundai работает над обновленным Palisade, при этом вице-президент бренда по планированию продукции отметил, что он будет кардинально отличаться от нынешней модели. В последние годы стиль новых моделей Hyundai резко изменился, а кульминацией нового подхода стал выпуск S...

BMW: продажи автомобилей с ДВС достигли пика, рост обеспечивают электромобили. Но механическая коробка передач пока остаётся в линейке Финансовый директор BMW Вальтер Мертл заявил о значительном сдвиге в динамике продаж компании, заявив, что продажи автомобилей с двигателями внутреннего сгорания достигли пика. Мертл подчеркнул, что электромобили сейчас обеспечивают большую часть роста продаж бренда. Ож...

Intel сдалась и просит производителей системных плат очень сильно ограничить все современные CPU Core i9 Похоже, вскоре все процессоры Intel Core i9 двух последних поколений станут намного медленнее без выбора со стороны пользователей. Как сообщается, Intel обратилась к производителям системных плат с просьбой установить специальные настройки Intel Default Settings в качес...

Один маленький шаг в сторону лунных дорог, потенциальный гигантский скачок в создании инфраструктуры на Луне: перспективы создания дорожек и посадочных площадок с помощью плавления реголита лазерами На Луне возможно создать дорожки и посадочные площадки с помощью лазеров, расплавляя лунный грунт до более плотного, слоистого вещества.  Хотя эксперименты проводились на Земле с использованием аналога лунной пыли, результаты демонстрируют реализацию такого подхода...

Intel открыла новый завод по производству современной упаковки в Нью-Мексико Fab 9 является частью ранее объявленных инвестиций Intel в размере 3,5 миллиарда долларов в оснащение своих предприятий в Нью-Мексико для производства передовых технологий полупроводниковой упаковки.

AMD обещает исправиться. Компания начнёт указывать частоты малых ядер Zen 4c в своих процессорах Компания AMD начинает активнее использовать условно малые ядра Zen 4c в своих процессорах, но по каким-то причинам раскрывает не всю информацию о них. Однако в ближайшее время компания обещает исправиться.  фото: AMD В частности, мы не раз говорили, что технически...

Бывшего сотрудника ASML обвиняют в краже секретной информации для Huawei По данным голландской газеты NRC, бывший сотрудник ASML Holding NV, обвиняемый в краже данных у производителя самого современного в мире оборудования для производства микросхем, перешёл на работу в компанию Huawei Technologies Co. В феврале ASML заявила, что бывший сотр...

Bloomberg: ЕС планирует вслед за США оценить риски использования китайских микросхем Евросоюз, следуя примеру США, намерен пересмотреть риски, связанные с использованием китайских микросхем в ключевых отраслях экономики. Согласно проекту рабочего заявления, Брюссель рассматривает возможность проведения расследования в отношении применения полупроводнико...

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Шпионские устройства 20 века, которые существовали на самом деле Некоторые шпионские устройства из художественных фильмов существовали в реальности В художественных фильмах про шпионов нам всегда показывают крутые устройства, которые помогают незаметно сфотографировать секретные документы или бесшумно нейтрализовать охранников. Например, ...

Samsung выпустит Galaxy S24 раньше времени Сегодня зарубежные инсайдеры сообщили, что компоненты для нового флагманского смартфона Galaxy S24 запустят в производство уже в следующем месяце, и Samsung планирует выпустить новые смартфоны даже раньше, чем говорили поставщики секретной информации. Это необходимо производ...

Продажи Honda в Китае снижаются Honda сегодня объявила о продажах автомобилей в Китае. С января по март 2024 года совокупные продажи Honda в Китае составили 206 907 единиц, что на 6,1% меньше, чем за тот же период годом ранее. Совокупный объем продаж автомобилей Guangqi Honda с января по март 2024 год...

Saint-Charles International School расширила образовательные программы Швейцарии Во времена растущей глобальной неопределенности все большее число семей находится в поиске надежного и безопасного образования за рубежом для своих детей. Чтобы удовлетворить растущий спрос на безопасную и стабильную образовательную среду, Saint-Charles International School,...

Неужели артефакты на фотографиях с iPhone станут историей? Apple тестирует новую технологию объектива iPhone 16 Pro Apple тестирует новую технологию покрытия объектива камеры для iPhone 16 Pro и iPhone 16 Pro Max, о чем сообщают источники внутри компании Речь идёт о технологии атомно-слоевого осаждения (atomic layer deposition, ALD), которая позволяет наносить материал по одному атом...

Найм и HR 2024 — чего нового Я инженер на сотню рублей,И больше я не получу.Мне двадцать пять,И я до сих пор не знаю, чего хочу.(На хабре же положено маркировать что автор текста — шпион и агент польский, японский, и иностранный? Или хабр не про политику и можно не мар...

В Google Translate интегрировали новый ИИ Если вы следите за новостями рынка высоких технологий, то могли заметить, что компания Google достаточно активно использует возможности искусственного интеллекта для расширения возможностей своих сервисов, которые ранее были ограничены. Например, компания использует свою нов...

Какие устройства Apple, кроме iPhone 16, выйдут в 2024 году Помимо линейки iPhone 16, которую компания представит в сентябре, новых iPad Pro и iPad Air, релиз которых постепенно сместился на май, Apple собирается показать нам еще несколько достаточно интересных устройств. В частности, купертиновцы уже два года не обновляли базовый i...

Местные жители разрушили планы TSMC по строительству самого современного 1-нм завода Компания Taiwan Semiconductor Manufacturing Co Ltd (TSMC) заявила, что не будет строить современный завод по производству микросхем в сельской части северного Тайваня после того, как местные жители устроили протест, заявив, что они не хотят переезжать. Компания заявила,...

Honda продолжит сокращения. Компания выживает за счёт ДВС, получить прибыль от электромобилей гораздо сложнее Глава Honda China Масуки Игараси заявил в интервью Nikkei Business News, что «в Китае будет реализована масштабная оптимизация персонала», чтобы сократить постоянные затраты и ускорить переход на чистые электромобили. Он сказал, что в 2024 году продолжится п...

Спасибо, Qualcomm, дальше сами. Huawei с 2024 года перейдет на собственные однокристальные системы Как сообщает ресурс MyDrivers, Huawei запустила процесс расчистки складских запасов в рамках подготовки к выпуску большого количества новинок. Их выход ожидается в конце текущего – начале следующего года. Устройств будет так много, что это называют «наводнен...

УАЗ больше не лидер на ключевом для себя рынке. В сегменте пикапов теперь номер один — JAC Компания «Автомаркетолог» подготовила отчет о рынке пикапов в сентябре текущего года. Раньше в этом сегменте лучшим был УАЗ, но сейчас он лишь входит в топ-3, причем держится только на третьей позиции. JAC T6. Фото: JAC Больше всего пикапов в сентябре 2023 ...

Японская компания Ispace пересмотрела дизайн лунного аппарата для миссии NASA CLPS, задержав запуск на год Компания Ispace Technologies представила новый дизайн лунного посадочного аппарата, названного APEX 1.0. Этот аппарат будет использоваться в рамках миссии NASA Commercial Lunar Payload Services (CLPS), которая была поручена компании Draper в июле 2022 года и которая, на...

Китай объявил о разработке нового метода массового производства оптических чипов Это может радикально изменить глобальный рынок полупроводников и укрепить технологическое лидерство страны.

Пока мы ждем дешевый складной телефон, Samsung решила выпустить его дорогущую Ultra-версию Мы уже много раз говорили, что складные смартфоны очень дорогие. Иногда в виде слухов появляется информация о том, что скоро выйдет что-то доступное. ZTE действительно выпустила очень недорогую раскладушку, но только для японского рынка. Хотя нам-то хотелось бы видеть решен...

HUAWEI представила глобальные версии новейших устройств. Часы, планшеты, ноутбуки и другое Компания HUAWEI провела большое мероприятие в Дубае, на котором представила несколько по-настоящему новых продуктов. Самое главное, что они стали глобальными, а значит будут продаваться по всему миру и станут более доступными для покупателей. Были показаны часы, планшеты, н...

Samsung сменит дизайн смартфонов после релиза Galaxy S25 Сегодня появилась интересная информация от западных инсайдеров, которые утверждают, что после релиза линейки смартфонов Galaxy S25 компания Samsung планирует полностью изменить дизайн своих смартфонов — и речь не только про флагманские смартфоны. Вероятно, изменение языка ди...

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Анатомия Htmx Hello world! По данным 2023 JavaScript Rising Stars библиотека htmx заняла второе место в разделе Front-end Frameworks (первое место вполне ожидаемо принадлежит React) и десятое место в разделе Most Popular Projects Overall. htmx — это библиотека, которая предоставляет до...

В России к концу 2024 года построят импортозамещающий завод по производству сельхозтехники В Барнауле планируется запустить новый завод компании "Комплекс АГРО" для производства сельскохозяйственной техники, чтобы заменить импортные машины. Регион Алтайский край является ключевым для сельского хозяйства, и этот проект поможет удовлетворить потребности местных ферм...

В России запустили новую линию по производству филамента для 3D-принтеров Новую производственную линию по изготовлению нитей для 3D-принтеров запустили в Самарской области, о говорится в сообщении пресс-службы правительства. «В Безенчукском районе производитель филамента запустил в эксплуатацию новую производственную линию, которая на с...

Какая продолжительность жизни была у динозавров — вы удивитесь Продолжительность жизни динозавров удивила ученых В настоящее время о динозаврах известно много информации — чем они питались, какой приспосабливались к той или иной среде обитания, как эволюционировали, почему погибли, и т.д. Но при этом обычно никто не говорит скольк...

Такую память в видеокартах GeForce или Radeon, к сожалению, ждать не стоит. Samsung и Hynix распродали все запасы HBM3 до 2025 года Компании Samsung и Hynix фиксирует значительный рост спроса на память HBM3 и HBM3e. У обеих компаний загодя распроданы все запасы до 2025 года.  В потребительских видеокартах мы такую память вряд ли когда-либо увидим, но огромный спрос на ускорители для ИИ формиру...

LG ускорит матрицу IPS Black до 360 Гц уже в 2024 году Ресурс TFTCentral опубликовал дорожную карту по выпуску на рынок новых панелей LG Display. Одной из заметных новинок станет 27-дюймовая матрица с разрешением 2560 х 1440 пикселей и кадровой частотой 360 Гц – производство таких панелей стартует в последнем квартале...

Amazon готовится запустить производство спутников проекта Kuiper после успешных испытаний Kuiper — это проект, разрабатываемый компанией Amazon, целью которого является создание коммерческой сети спутниковых связей. Вице-президент технологий космического спутникого кластера, Раджив Бадьял, сообщил, что проект достиг значимого прогресса после успешных т...

Supermicro добавляет 3 объекта в Кремниевой долине и в мире с учетом роста систем жидкостного охлаждения Компания Supermicro, Inc., поставщик комплексных ИТ-решений для ИИ, облачных хранилищ и 5G/Edge, продолжает расширение в Кремниевой долине, открывая новые кампусы по мере роста спроса на центры обработки данных с жидкостным охлаждением. Новые производственные объекты станут ...

Как мы упростили внедрение роботов в банке Я роботизатор, который занимается автоматизацией рутинных задач в банке. Чтобы справиться с потоком таких задач, мы начали использовать технологию RPA (robotic process automation), которая имитирует действия человека на уровне пользовательского интерфейса. Внедрение RPA в...

Это самый сложный и современный чип китайской разработки. Nio представила SoC Shenji NX9031 с 50 млрд транзисторов и 32 ядрами Пока компания Huawei вместе со SMIC привлекают внимание их 7-нанометровой SoC Kirin и слухами о работе над 3-нанометровыми чипами, китайская компания Nio, которая к полупроводникам в целом отношения не имеет, создала собственный чип по техпроцессу 5 нм.  Однокрист...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

В России будут развивать новое направление - радиофотонику По сообщению госкорпорации «Ростех», на базе НИИ «Полюс» холдинга «Швабе» будет создано новое технологическое направление: институт будет осуществлять научную деятельность по развитию радиофотоники. Это позволит проводить масштабные и...

Axiom Space готовит новые скафандры для лунных экспедиций Artemis Компания Axiom Space готовится к проведению критического проектирования нового поколения лунных скафандров программы Artemis. Это событие может состояться уже в июне текущего года. Однако, эта новость на фоне отсрочки отправки астронавтов на Луну со стороны NASA вызывае...

Создано топливо для самолетов из человеческих фекалий — полеты станут дешевле и безопаснее? Некоторые самолеты будущего смогут летать на топливе, сделанном из человеческих фекалий. Изображение: Uhpoto В современном мире на самолеты приходится около 2% выбросов углерода — это парниковый газ, который усугубляет изменение климата. Может показаться, что речь идет об оч...

Нужно намного больше заводов по производству чипов для ИИ. Глава OpenAI ищет инвестиции для новых фабрик Несмотря на то, что искусственный интеллект в виде ChatGPT, других больших языковых моделей и прочих подобных вариантов на рынке фактически лишь год, уже сейчас дефицит ускорителей для ИИ таков, что очередь у Nvidia растянулась минимум на год. Ситуация явно будет лишь у...

США покажут рекордные темпы роста производства полупроводников, но всё равно останутся пятыми. Таков прогноз аналитиков SIA к 2032 году В последние годы США активно наращивают свои полупроводниковые производственные мощности. Новый закон CHIPS, который призван ещё сильнее усилить позиции США на этом рынке, в итоге позволит стране утроить производство чипов к 2032 году, и это будет самым большим темпом р...

Стартап Exploration Labs планирует миссию по встрече с астероидом Апофис в 2028 году Стартап из Южной Калифорнии под названием Exploration Labs (ExLabs) планирует миссию по встрече с астероидом Апофис в 2028 году. В рамках этой миссии ExLabs планирует вывести на орбиту Апофиса три кубсата. Кроме того, цель миссии предусматривает проверку систем и програ...

Google выпустила новое приложение с ИИ для создания видеороликов На этой неделе компания Google без лишнего шума анонсировала приложение для создания видеороликов на основе искусственного интеллекта. Новое приложение под названием Google Vids предназначено для пользователей Google Workspace и использует возможности Google Gemini — искусс...

Нет, Apple, так не пойдет. У компании «очень серьезные проблемы» с соблюдением нового Закона о цифровых рынках, ей грозит огромный штраф Apple сталкивается с «рядом очень серьезных» проблем при соблюдении Закона о цифровых рынках (Digital Markets Act, DMA) в Европе, о чем заявила комиссар ЕС по конкуренции Маргрет Вестагер в интервью CNBC. В марте Европейская комиссия начала расследовани...

Apple выпустила новый iPad Pro 2024 с OLED-экраном на свежем чипе M4. Такой планшет я бы купил не раздумывая В последнее время дела у планшетов идут не очень. Пользователи поняли, что они не смогут в полной мере заменить ноутбуки даже при наличии надстроек в системе и дополнительной периферии. Однако для некоторых именно планшеты являются основной рабочей лошадкой, но с основными ...

Meta* добавила в WhatsApp генерацию изображений и видео по текстовому описанию, и не только Компания Meta*  добавляет в популярный мессенджер WhatsApp функцию генерации изображений с помощью искусственного интеллекта в реальном времени, а также ряд других новшеств с использованием Meta* AI.  Как рассказали в пресс-службе, в последние шесть месяцев в...

Всё как у Tesla: самые современные роботы-гуманоиды будут собирать машины Dongfeng Dongfeng Motor официально подписала соглашение с Ubtech Robotics об использовании роботов-гуманоидов на заводах по производству автомобилей. Промышленная версия гуманоидного робота Walker S от Ubtech будет использоваться на производственной линии Dongfeng Motor. Ро...

Представлен новейший робот-гуманоид Tesla Optimus Gen 2 Tesla представила Optimus Gen 2, новое поколение своего робота-гуманоида, который сможет брать на себя различные задачи, помогая людям. Tesla хочет создать робота-гуманоида, который мог бы быть достаточно универсальным, чтобы заменить человеческий труд, но многие сомнев...

ИИ скоро будет почти во всех смартфонах. Скажите за это спасибо Qualcomm В наши дни искусственный интеллект, кажется, повсюду. Он в вашем телефоне, телевизоре, компьютере и даже в наушниках. Технология все еще находится в зачаточном состоянии, но уже есть реальные случаи, когда ИИ приносит пользу — расшифровка заметок, создание резюме, ред...

TSMC начала испытывать прямоугольные подложки для новейших ИИ-чипов Тайваньский чипмейкер TSMC начал испытания нового способа упаковки микросхем для производства новейших ИИ-чипов.

Выставки Rosmould & 3D-TECH и Rosplast 2024 пройдут 18-20 июня Продукцию представят отечественные и иностранных производители пресс-форм и штампов, поставщики оборудования и материалов для производства полимерных изделий, в том числе методами 3D-печати. В деловую программу выставок войдут выступления ведущих отраслевых экспертов, готовы...

Из инженеров в DEVOPS — «Войти в  IT» на практике Меня зовут Степан Вахета, и я хочу рассказать о своем пути от инженера инфраструктуры до позиции младшего DevOps-инженера в HOSTKEY. Надеюсь, эта информация будет полезна тем, кто находится в раздумьях по поводу развития карьеры в IT или о переходе в новую профессию. Я специ...

Microsoft выпустила Windows 11 Build 22621.2500 для инсайдеров в Release Preview Channel Компания Microsoft выпустила Windows 11 Build 22621.2500 (KB5031455) для инсайдеров Windows в Release Preview Channel в Windows 11 версии 22H2. Это обновление включает следующие функции и улучшения: • Новое! Это обновление влияет на блеск основных моментов поиска в окне поис...

[recovery mode] Где учить Frontend бесплатно в 2024 году В 2024 году изучать frontend по-прежнему актуально. Вот несколько причин, почему это может быть полезным:1. Развитие технологий: Веб-технологии постоянно развиваются, и в 2024 году можно ожидать еще большего прогресса. Изучения frontend поможет быть в курсе последних те...

Совершенно новых процессоров AMD Ryzen осталось ждать буквально два-три месяца. ПК на основе Strix Point появятся уже в августе Первые процессоры AMD Ryzen нового поколения могут появиться уже через два-три месяца.  Компания Aoostar, отвечая на вопросы пользователей, сообщила, что ПК на основе новых Ryzen появятся уже в августе. Если точнее, такие устройства в августе предложат бренды перв...

Что такое гибкие печатные платы и особенности их производства Гибкие печатные платы (Flexible Printed Circuits, FPC) – это технология, которая обеспечивает установку электронных компонентов на гибкую подложку. Применение ГПП существенно расширяет возможности проектирования электронных устройств, поскольку они могут изгибаться, скручива...

BYD продолжает запускать заводы в новых странах: стартовало производство машин в Таиланде, на очереди — Индонезия BYD начала тестовое производство на заводе в Таиланде. Серийное производство начнется в следующем месяце. Планируемый объем производства тайского завода составит 150 000 автомобилей в год. В апреле 2024 года BYD также начнет строительство сборочного завода в Индонезии. ...

Где это видано: сотрудники BYD бастуют, требуя возможности работать больше. Как завод решил сэкономить на оплате На заводе BYD в Уси, провинция Цзянсу, Китай, состоялась забастовка, в которой приняли участие многие сотрудники. Основной причиной недовольства сотрудников стало введение четырехсменной системы и пятидневного режима работы по 8 часов в день (в субботу и воскресенье зав...

Производство Skoda Octavia, Kodiaq, Karoq и Kamiq в Казахстане вот-вот начнётся. Изначально машины будут поставлять только на внутренний рынок Сборка автомобилей Skoda на заводе «Сарыаркаавтопром» компании Allur в Костанае скоро начнётся, в данный момент завершается подготовка к производству, о чем пишет «Автопоток». Неделю назад стало известно, что Skoda и завод Allur подписали соглаше...

Рынок дошёл до того, что для SSD теперь выпускают отдельную жидкостную систему охлаждения. MSI показала свой Spatium M580 Frozr Liquid с такой СО Компания MSI показала на CES 2024 охладитель для SSD. Точнее, показан был SSD с охладителем, но тут интересен именно последний.  Дело в том, что речь о жидкостной системе охлаждения! Установлена она была на накопитель Spatium M580 Frozr Liquid Gen5, который предло...

Эволюция оценки программиста на интервью Я более десяти лет жизни писал код в одной российской компании и активно собеседовал-нанимал людей. За это время успел пообщался с четырьмя сотнями кандидатов. На моих интервью было все – от алгоритмических задач до разговоров о «жизни». Но форма вторична – я рассматриваю ин...

У Apple пока не получается избавиться от зависимости от Qualcomm. Теперь компания планирует выпустить собственный модем 5G только в 2026 году Компании Apple снова придётся переносить свои планы относительно замены модемов Qualcomm в iPhone на собственные.  Как сообщается, купертинский гигант всё ещё сталкивается с техническими трудностями при разработке собственного модема 5G. Компания уже переносила ср...

2 кг против 10 кг, полный отказ от свинца и возможность завести автомобиль даже спустя год. BYD полностью перешла на литий-железо-фосфатные стартовые аккумулятора BYD объявила об отказе от свинцово-кислотных аккумуляторов напряжением 12 В, добавив, что все ее модели будут использовать литий-железо-фосфатные стартовые батареи. Компания вступила в «эру без свинца» для всех транспортных средств. Свинцово-кислотные а...

Tierra Biosciences привлекла $11,4 миллионов для коммерциализации технологии синтеза белков, основанной на Искусственном Интеллекте Калифорнийская компания Tierra Biosciences представила инновационную платформу, использующую искусственный интеллект, для индивидуального синтеза белков. Эта технология, которая может применяться в фармацевтической, промышленной и сельскохозяйственной сферах, позволяет ...

В МГУ появится лаборатория для прогнозирования спроса на кадры Московский государственный университет имени Ломоносова (МГУ) запускает лабораторию развития человеческого капитала, которая будет использовать разработки компании «Профилум» для прогнозирования ситуации на рынке труда. Лаборатория сосредоточится на анализе данных о структур...

Такую память может получить GeForce RTX 5090. Samsung представила GDDR7 с частотой 32 ГГц, а заодно HBM3E Shinebolt и LPDDR5X-7500 в формате CAMM2 Компания Samsung на мероприятии Memory Tech Day 2023 представила несколько новых разработок в области памяти. К примеру, память GDDR7, которая вполне может прописаться в видеокартах GeForce RTX 5090 и новых флагманах AMD. Как минимум ранее уже были новости о том, что Nv...

iPhone 17 и iPhone 17 Plus могут получить 120-герцевые OLED-экраны ProMotion, которые до этого были только у Pro-моделей В следующем году iPhone 17 и iPhone 17 Plus могут быть оснащены OLED-дисплеями ProMotion с низким энергопотреблением. Да, впервые технология ProMotion, которая пока используется в Pro-моделях, станет доступна стандартным моделям iPhone. Об этом пишет издание The Elec со...

Nissan перестала вкладывать деньги в разработку ДВС Nissan заявляет, что компания перестала тратить деньги на технологию двигателей внутреннего сгорания. Австралийский журнал Drive побеседовал со старшим вице-президентом и директором по планированию компании в регионе Африки, Ближнего Востока, Индии, Европы и Океании (AM...

Экономия на бытовой технике: реальные советы В условиях современного рынка, где ассортимент бытовой техники и электроники растет с каждым днем, вопрос экономии становится особенно актуальным для большинства потребителей. Находясь в поиске оптимальных предложений, многие из нас сталкиваются с необходимостью выбора между...

Планы NASA по сокращению затрат с использованием ракеты SLS маловероятно принесут ожидаемую экономию Планы NASA по приобретению будущих запусков системы Space Launch System, скорее всего, не приведут к ожидаемому снижению затрат, пришло к заключению Управление генерального инспектора (NASA OIG), рекомендуя NASA сохранять открытость для альтернативных носителей.  В...

Новые горизонты производства электроники и солнечных батарей: оптимизация мультикристаллических материалов с помощью ML упность и различные преимущества мультикристаллических материалов сделали их широко распространенным сырьем для различных применений в сфере солнечной энергетики и, в целом, полупроводни.ковой индустрии, электроники и медицины, однако работа с ними сопровождается серьезными ...

Каким будет iPhone 16: больше ОЗУ, мощнее процессор и новая нейросеть из iOS 18 Уже ни для кого не секрет, что в iOS 18 на Айфоне появится большое количество новых функций, связанных с искусственным интеллектом. Однако мало его добавить в операционную систему. Необходимо обеспечить еще и бесперебойную работу. Для этого Apple установит в iPhone 16 новый...

Названа интересная особенность iPhone 17 Известный аналитик Минг-Чи Куо поделился новыми инсайдерскими данными о том, что Apple собирается внедрить в материнскую плату iPhone медные компоненты с полимерным покрытием (RCC), уменьшив толщину системной платы. «RCC позволит уменьшить толщину матери...

Не только маленький Land Cruiser, но еще и совершенно новый пикап. Toyota может выпустить нетипичный пикап для конкуренции с Ford Maverick и Hyundai Santa Cruz По данным Automotive News, Toyota работает над совершенно новым легковым пикапом — у этой машины не будет рамы. Такой автомобиль станет конкурировать с Ford Maverick, Hyundai Santa Cruz и будущим пикапом на базе Renault Duster третьего поколения. В качестве основы...

Новый iPhone 16 получит невероятно мощный чип Мобильный процессор M4, установленный в последних моделях iPad Pro с диагональю 11 и 13 дюймов, в настоящее время является самым мощным и эффективным чипом компании Apple. Кроме того, технологический гигант утверждает, что этот чип оснащён самым производительным нейропроцесс...

Каким будет новый кроссовер Mazda CX-5? Первые подробности В своем финансовом отчете за прошлый год Mazda приоткрыла завесу тайны над кроссовером Mazda CX-5 нового поколения. Как оказалось, компания действительно рассматривает выпуск такой машины, и она получит гибридную силовую установку. Изображение: Mazda Ввиду того, что на...

Что известно про iPad Pro и iPad Air перед презентацией Apple в марте: новый размер, процессор и цена Хоть 2024 год и начался совсем недавно, но уже очень скоро нас ждут первые новинки от компании Apple, которые будут представлены в конце марта. В настоящее время неизвестно, будет это полноценная презентация, просто записанное видео или купертиновцы разместят их на сайте и ...

Незаметно для многих на рынке активно растёт «новая Xiaomi». Благодаря отличным продажам Tecno холдинг Transsion занял четвёртое место на рынке смартфонов Компания Transsion Holdings многим может быть неизвестна, но именно ей принадлежат активно набирающие обороты бренды Tecno и Infinix. И благодаря их растущим продажам в третьем квартале Transsion нарастила свою выручку на 39%, до 18 млрд юаней (2,52 млрд долларов). ...

Нестинг в 3D-печати     3D-печать является одной из самых быстро развивающихся технологий в сфере производства. Регулярно публикуются статьи о новых методах и технологиях печати, которые позволяют внедрять аддитивное производство во всё новые сферы. Кроме того 3D-печать становится более доступн...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Новые MacBook Pro получат более быстрые порты Thunderbolt Новые модели планшета iPad Pro с диагональю дисплея в 11 и 13 дюймов оснащены новейшим процессором M4 от Apple, который массово производится по второму поколению 3-нм технологического процесса компании TSMC. Это значительное достижение в области производства полупроводниково...

На экранах iPhone имеются микроскопические QR-коды, которые позволяют Apple экономить сотни миллионов долларов в год Компания Apple с 2020 года наносит микроскопические QR-коды на экраны своих смартфонов.  Ресурс The Infirmation рассказал о том, что уже несколько лет купертинский гигант размещает крошечные коды, чтобы упростить процесс контроля и сэкономить сотни миллионов долла...

Huawei инвестирует в собственную литографию Если вы следите за рынком высоких технологий, то знаете, что у компании Huawei имеются существенные проблемы в области полупроводниковой продукции. Причина этих проблем заключается в том, что китайскому гиганту запретили приобретать различного рода полупроводниковую продукци...

Toyota утверждает, что ее «совершенно другие» двигатели внутреннего сгорания меняют правила игры В то время как некоторые автопроизводители расхваливают электромобили, Toyota делает то же самое с двигателями внутреннего сгорания. Новые четырехцилиндровые ДВС были представлены в конце мая. Теперь технический директор японского автопроизводителя сделал громкое заявле...

В HarmonyOS NEXT не будет поддержки APK. Как теперь устанавливать приложения на Huawei Несмотря на то что Huawei представила HarmonyOS почти три года назад и уже перевела на нее почти все свои устройства, долгое время у людей не было понимания, что из себя представляет новая операционка компании. Во-первых, казалось странным, что новую ОС разработали настольк...

Очень маленькая, но и очень слабая видеокарта. Представлена ASRock Radeon RX 6400 Low Современных очень бюджетных видеокарт на рынке не так мало, и ASRock выпустила новую как раз из такого сегмента.  Модель называется Radeon RX 6400 Low, и это одно из самых дешевых и малопроизводительных решений на рынке, которое относится к современным линейкам. Т...

SK Hynix заняла 35% рынка DRAM Согласно информации аналитического агентства Business Korea, доля компании SK Hynix на рынке памяти DRAM достигла 35% в третьем квартале текущего года. Графические процессоры для центров обработки данных, которые компании используют для обучения моделей искусственного интелл...

Глава Electronic Arts: «На мобильных играх сложно зарабатывать» Electronic Arts отчитались перед инвесторами о планах компании на мобильные игры. Так, в марте издание mobilegamer.biz рассказало, что два внутренних подразделения EA — HD и смартфоны — объединили для совместной работы над EA Sports FC, Madden NFL и The Sims. Подобные измене...

SpaceX планирует выпускать по одной ракете Starship в день со своего нового завода Starfactory На прошлой неделе SpaceX провела четвёртое успешное испытание своей ракеты Starship, самой мощной в мире. Испытание достигло поставленных целей: первая ступень Starship, Super Heavy, совершила мягкую посадку в Мексиканском заливе, а верхняя ступень "Корабль&qu...

Это совершенно новый Chery Tiggo 8, и он едет в Россию: живые фото с Пекинского автосалона Совершенно новый Chery Tiggo 8 официально приедет в Россию, о чем стало известно «Китайским автомобилям» на Пекинском автосалоне 2024. В салоне используются качественные материалы Информацию подтвердили представители самой китайской компании Chery, однако ...

Изучение языков без труда: Puzzle-English и промокоды Изучение нового языка может быть увлекательным приключением, но оно также требует времени, усилий и постоянной практики. Однако с появлением современных образовательных платформ, таких как Puzzle-English, изучение иностранных языков стало гораздо проще и увлекательнее. В это...

Искусственный интеллект Microsoft создал новый перспективный материал для аккумуляторов за 80 часов Искусственный интеллект в его сегодняшнем виде — это не только рисование картинок, создание кода и написание текстов. Его также активно используют в науке. И Microsoft решила показать возможности своей платформы, создав посредством ИИ новый материал, потенциально ...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Samsung хочет опередить Intel и первой выпустить частично стеклянные процессоры. Компания начала разработку стеклянных подложек для своих продуктов Компания Samsung решила ввязаться в гонку с Intel и тоже перейти на использование стеклянных подложек в своих чипах.   Как сообщается, дочерней компании Samsung Electro-Mechanics было поручено начать научно-исследовательские разработки в этом направлении. При этом...

Apple готовит к релизу процессор М4 Компания Apple, по информации сразу нескольких инсайдеров, активно работает над тем, чтобы выпустить процессор М4 нового поколения уже в этом году. На текущий момент эта информация официально никем не подтверждается и на самом деле сложно сказать будет ли производитель идти ...

Правда ли, что в России могут отключить платежи смартфонами по NFC и что тогда будет В тот же день, как Samsung запретила использование карт Мир в Samsung Pay, а Google удалила из Плей Маркета Mir Pay, пошли разговоры о принципиальном запрете бесконтактных платежей в России. Якобы отключение оплаты смартфоном должно стать следствием ужесточения санкционной ...

MediaTek представит чип Dimensity 9400 с ядром Cortex-X5 Сегодня появилась официальная информация о том, что компания MediaTek представит свой новый флагманский процессор под названием Dimensity 9400 в четвёртом квартале этого года, то есть у компании осталось примерно полгода на то, чтобы показать аудитории новый процессор топов...

Все «супервидеокарты» Nvidia в рамках нескольких линеек. Gainward представила адаптеры RTX 40 Super Свои новые видеокарты RTX 40 Super представила не только Palit, но и Gainward. И речь также о большом количестве моделей.  RTX 4070 Super у Gainward представлена моделями Ghost, Ghost OC и Panther OC. На основе RTX 4070 Ti Super приготовлены модели Panther OS, Pho...

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

Это как если бы Core i9-14900K потреблял 4 Вт. Представлена архитектура Efficient Computer Fabrik, позволяющая создавать в 100 раз более энергоэффективные процессоры Стартап Efficient Computer представил процессор Monza, который, как утверждает сама компания, почти в 100 раз более энергоэффективен, чем процессоры общего назначения, представленные сегодня на рынке.  создано DALL-E Секрет такого потенциально революционного дости...

Чудовищный GPU Nvidia Blackwell обошёлся компании в чудовищную сумму. Инвестировано 10 млрд долларов, а производство одного GPU обходится в 6000 долларов Похоже, на создание огромного GPU Blackwell компания Nvidia потратила очень много денег.  Сообщается, что Nvidia инвестировала в разработку нового решения колоссальные 10 млрд долларов. Учитывая ажиотажный спрос на ускорители для ИИ и позиции Nvidia на этом р...

США никак не успокоятся. Власти ограничили продажи чипов Nvidia, произведенных специально для Китая, с учётом предыдущих ограничений Акции Nvidia пережили худшее падение акций за более чем два месяца после того, как администрация президента США Джо Байдена ограничили продажи компанией процессоров, разработанных специально для китайского рынка. Компания Nvidia предупредила, что новые правила могут зат...

Процесс перехода с монолитиной архитектуры на микросервсную Общий процесс перехода с монолитной архитектуры на микросервисную:1. Анализ текущей архитектуры: Оцените текущие монолитное приложение, определите его слабые места и проблемы, которые хотели бы решить с помощью микросервисной архитектуры. Это может включать в себя высокую сл...

Вот тут-то малый объем ОЗУ в iPhone и проявится. Аналитик TF International Securities считает, что из-за 8 ГБ ОЗУ смартфоны Apple не смогут удивить функциями ИИ iPhone всегда предлагали меньше оперативной памяти, чем флагманы и даже многие среднебюджетные смартфоны с Android. Благодаря самой структуре iOS и оптимизации ПО под конкретное аппаратное обеспечение смартфонам Apple всегда хватало небольшого объема ОЗУ. Однако теперь,...

Зачем НАСА собирается отправить в космос ядерный реактор Концепт космического корабля с ядерной установкой на борту Спустя более полувека НАСА собирается во второй раз запустить в космос ядерный реактор. Обычно слово “ядерный” ассоциируется в первую очередь с оружием, но в данном случае миссия имеет абсолютно мирные цели. Задача я...

Western Digital представила первый в отрасли чип памяти 3D QLC NAND емкостью 2 Тб Компания Western Digital представила первый в отрасли чип памяти 3D QLC NAND емкостью 2 Тб, что соответствует объему 256 ГБ.  В настоящее время микросхема находится на стадии прототипа, но компания заявляет, что этот чип NAND обеспечивает самую высокую плотность н...

Как Huawei убивает Apple в Китае. Почему Россия только выиграет от этого Американские санкции в отношении Huawei, которые вступили в силу в 2019 году, были призваны полностью разгромить китайскую компанию. Ей запретили не только пользоваться сервисами Google, но и применять в своих устройствах комплектующие заграничных производителей без ведома ...

Инновации на руке: почему в современных умных часах нет ничего нового Первые умные устройства появились еще в 20 веке. Они были громоздкими, дорогими и не пользовались популярностью у населения. Часы были полностью электронными, а из функций только менялась яркость по датчику освещенности, а чтобы посмотреть время, нужно было нажать кнопку. Те...

Законодателям США не понравилось, что Huawei выпустила новейший ноутбук MateBook X Pro на основе процессоров Intel Компания Huawei на днях представила новейших ноутбук MateBook X Pro на основе процессоров Intel Core Ultra. И это не понравилось ряду американских чиновников.  фото: Huawei Как сообщается, законодатели-республиканцы в пятницу раскритиковали администрацию президент...

Samsung ускоряет разработку упаковки для чипов на стеклянной подложке Samsung Group создала новый межведомственный альянс - по данным южнокорейского издания Sedaily, совместная работа будет сосредоточена на исследованиях и разработке "подложки мечты"

Инновационное применение 3D-печати в производстве композитных деталей В последние годы наблюдается взрывной рост использования композиционных материалов в 3D-печати, нацеленный на преодоление ограничений традиционных производственных методов, экономию времени и средств. Основанный в январе 2021 года Институт новых промышленных материалов в Дэч...

Комфортом тут и не пахнет, вместо заднего ряда сидений — две «запаски», зато заявлены военные технологии и цена более 138 000 долларов. Появились подробности о внедорожнике M-Hunter от Dongfeng Появились первые фотографии и новые подробности о хардкорном внедорожнике M-Hunter от Dongfeng, который покажут на следующей неделе на Пекинском автосалоне. Ожидается, что цена составит более 138 000 долларов. M-Hunter будет оснащен различными технологиями военного уро...

Windows 11 версии 24H2 не будет работать на старых процессорах без инструкции POPCNT Стало известно, что Windows 11 версии 24H2 будет требовать наличие процессора с поддержкой инструкции POPCNT, что сделает невозможным запуск системы на очень старых компьютерах. Отметим, что эта инструкция была представлена компанией AMD в 2006–2007 годах вместе с архитекту...

Новый MacBook Pro будет лишь немного мощнее текущей модели Ещё примерно с весны текущего года на просторах интернета пользователи активно обсуждают вопрос релиза новых ноутбуков MacBook Pro, которые должны быть представлены компанией Apple в начале 2024 года. И сегодня появились довольно интересные данные относительно данного ноутбу...

iPhone 16 Pro Max получит передовой сенсор Sony Текущий флагманский смартфон iPhone 15 Pro Max сохранил тот же 48-мегапиксельный основной сенсор, который использовался в том числе в iPhone 14 Pro Max, но инженеры компании значительно его улучшил, чтобы новый флагман снимал лучше предыдущего. Теперь инсайдеры сообщают о то...

Apple вернет топовый процессор в iPhone 16. Он получит чип A18 как iPhone 16 Pro и 16 Pro Max До сентября 2024 года остается еще больше 9 месяцев, а мы уже знаем об iPhone 16 практически все. Нет, это не Apple допустила так много утечек: просто компания стала слишком предсказуемой, и мы за годы профессионального погружения в ее деятельность научились с высокой долей...

Все автозаводы в России могут обеспечить российскими ABS и ESC «Итэлма» сообщила, какие компании заказывают у неё комплекты антиблокировочной системе тормозов и системы динамической стабилизации. «Мы являемся поставщиком ABS/ESC на все модели автомобилей, которые сегодня производит АвтоВАЗ. Также начали работу с Группой ГАЗ и ведем пе...

Российская компания начнёт выпуск базовых станций 5G в следующем году Издание «Коммерсантъ» сообщает, что принадлежащий МТС разработчик телекоммуникационного оборудования «Иртея» собирается наладить производство базовых станций для сотовых сетей 5G и 4G на мощностях томского завода «Микран». Об этом жур...

Что такое 5,5G или 5G-Advanced. Будет ли он в России Пока мы ждем повсеместного распространения полноценных сетей 5G и особенно их прихода в Россию, Китай делает рывок вперед, внедряя следующее поколение беспроводных технологий. Назвать его полностью новым сложно, но это действительно улучшение стандартов связи, поэтому мы вс...

Samsung разрабатывает процессор для нового ИИ Компания Samsung Electronics стремительно развивается в области производства полупроводников, но даже при этом компании не удалось привлечь внимание лидеров рынка вроде NVIDIA, которые предпочитают TSMC из-за более продвинутых технологических процессов. Но сегодня появилась ...

Подружить ЦОД и «мирный атом» решили Microsoft и Amazon, компании поменьше не отстают Велика вероятность, что дата-центры будущего будут комплектоваться встроенными ядерными реакторами или, как минимум, размещаться рядом с действующими АЭС. Развитие искусственного интеллекта и другие технологии повышают спрос на инфраструктуру ЦОД. Нужно гораздо большей вычис...

Huawei названа лидером в отчете 2024 Gartner Magic Quadrant «Инфраструктура для корпоративных проводных и беспроводных ЛВС» Компания Huawei объявила о том, что она была названа лидером в отчете Gartner Magic Quadrant «Инфраструктура для корпоративных проводных и беспроводных ЛВС», став единственным поставщиком не из Северной Америки, занявшим место в квадранте «Лидеры». В настоящее время широко п...

Apple разогнала SSD в новых MacBook Air с M3, но добавила косяк с процессором В новом MacBook Air M3 Apple установила быстрый SSD. Изображение: tomsguide.com 8 марта Apple начала продажи MacBook Air M3. Первые покупатели, получившие компьютер на руки, сразу же начали его углубленно тестировать. К сожалению, большую часть нововведений нового MacBook Ai...

Охлаждение северов: новости от Google, Eaton, Lu-Ve, Johnson Controls, Stulz, Liteon, InWin, Iceotope и Pascal На фондовых рынках фиксируется быстрый рост популярности ценных бумаг компаний, продающих системы охлаждение серверов в ЦОД. Почему? Инвесторы осознают значимость искусственного интеллекта (ИИ) и дата-центров, которые обслуживают решения на базе ИИ. Вычислительных мощностей ...

Как это вообще возможно?! iPhone 15 Pro в России подешевел до уровня iPhone 14 Pro Выгодный смартфон — понятие слишком относительное, чтобы его можно было употреблять в отрыве от конкретных моделей. Оно имеет смысл исключительно при сопоставлении двух аппаратов, когда есть возможность напрямую сравнить их характеристики, актуальность и, конечно же, ...

Сначала судились, а теперь объединились. Nikon покупает RED — производителя известных кинокамер Компания Nikon сегодня объявила о приобретении компании RED — известного производителя кинокамер. Сумма сделки не названа, Nikon лишь заявила, что RED станет дочерней компанией, но будет находиться в полной собственности. В настоящее время в RED работает около 220...

Стартап Kurs Orbital привлёк $4 млн для разработки новой технологии обслуживания спутников 7 марта стартап Kurs Orbital, занимающийся разработкой космических технологий для обслуживания спутников, объявил о получении начального финансирования в размере $4 000 000.  Основанная в 2021 году бывшим директором Украинского космического агентства Владимиром Усо...

Слух: Microsoft представит свой ИИ-чип на конференции Ignite 2023 Microsoft может представить первый собственный чип для искусственного интеллекта на грядущей конференции Ignite 2023, которая пройдёт с 14 по 17 ноября в Сиэтле. Об этом сообщает издание The Information. Отметим, что в расписании действительно присутствует сессия, посвящённ...

Это завод Xiaomi по производству машин. Появились первые реальные фото и спутниковые снимки Первые реальные фотографии и спутниковый снимок автомобильного завода Xiaomi в Пекине опубликовали китайские СМИ. На снимке показаны несколько помещений, построенных на площади 720 000 квадратных метров. Строительство завода в Пекине началось в апреле прошлого года. Об...

Такого AMD ещё не делала. Компания собирается выпустить серверные процессоры Epyc 4004 Raphael для потребительской платформы AM5 Похоже, компания AMD в ближайшее время может сделать платформу AM5 намного более привлекательной для энтузиастов. Сообщается, что для AM5 могут выйти серверные процессоры Epyc.  Линейка якобы будет называться Epyc 4004 Raphael. Такие процессоры ожидаемо не будут с...

Эти новые ИИ-функции появятся в крупном обновлении Windows 11 24H2 Компания Microsoft раскрыла новые детали о новшествах, которые появятся в крупном обновлении Windows 11 24H2.  Одна из новых функций, где появится интегрированный искусственный интеллект, это PowerToys. Называться она будет PowerToys Advanced Paste with Local AI, ...

Правила Евро-7 не страшны легковым машинам. 512-сильный V6 Alfa Romeo будет использоваться и дальше Нормы Евро-7 должны вступить в силу в 2025 году, и автопроизводители стараются гарантировать, что их модельный ряд будет соответствовать новым стандартам выбросов, которые еще даже не доработаны. Последнее предложение оказалось более мягким, чем предыдущие, поскольку он...

У следующего Xbox может быть совершенно новый дизайн Большие изменения могут принести интересные новости поклонникам Xbox, особенно если они приведут к выпуску новых современных устройств

Открылся крупнейший автосалон Auto China 2024: 117 совершенно новых моделей и почти три сотни электромобилей 18-й Пекинский международный автосалон Auto China 2024 стартовал сегодня, 25 апреля, в Пекине. Он продлится до 4 мая в двух выставочных центрах, где будут показывать новые машины и автозапчасти. Общая площадь выставочных площадей составляет 220 тыс. кв. м. Посетителям д...

Samsung тоже выпустит свой процессор для ИИ, но конкурировать с Nvidia пока не собирается. Mach-1 выйдет в следующем году Компания Samsung выпускает очень много полупроводниковой продукции, но в первую очередь это чипы памяти и собственные SoC Exynos. Теперь вот, как сообщается, Samsung собирается выйти на разгоняющийся рынок процессоров для ИИ.  создано DALL-E Решение корейского гиг...

Искусственный интеллект будет в смартфонах на уровне железа. Спасибо Google и Qualcomm Искусственный интеллект пока не изменил нашу жизнь настолько, чтобы она больше не была такой, как прежде. Но некоторые изменения уже есть, и если правильно пользоваться ими, то все становится намного проще. Было лишь вопросом времени, когда искусственный интеллект появится ...

TSMC удвоит производство процессоров для ИИ По сообщениям западных журналистов, компания TSMC вкладывает огромные инвестиции в расширение производственных мощностей, потому что компания хочет в текущем году удвоить выпуск полупроводниковой продукции — особенно в области производства CoWoS. Упаковка CoWoS считается важ...

HUAWEI лишили процессоров Snapdragon, которые стояли почти во всех смартфонах. Что теперь с ними будет? 7 мая 2024 года министерство торговли США наложило новые санкции на HUAWEI. Об этом сообщило издание Bloomberg со ссылкой на анонимные источники, а также на председателя Комитета Палаты представителей по иностранным делам республиканца Майкла Маккола. Отныне американским In...

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

Всё идёт к выпуску iPhone без Dynamic Island и любых вырезов и отверстий. LG Innotek уже готовит такой экран LG Innotek начала разработку усовершенствованной «камеры под панелью» (UPC), у которой нет видимого отверстия для объектива. По данным TheElec, такие экраны будут предназначены для полноэкранных iPhone без вырезов и отверстий. Технология UPC подразумевает, ч...

Это новейший Tank 300 Hi4-T, и он может оказаться дешевле, чем ожидалось. Официальные фото и новые подробности Гу Юкунь (Gu Yukun), заместитель генерального директора бренда Tank, опубликовал в соцсети Weibo заметку, в которой коснулся ряда проблем, с которыми компании приходится сталкиваться при запуске в производство гибридного внедорожника Tank 300 Hi4-T. Свои слова он сопров...

Lexus возместит все потерянные продажи в России уже в 2024 году Lexus Europe возместит все потерянные продажи в России уже в следующем году благодаря возросшему спросу на других рынках и запуску новых моделей, о чем заявил европейский руководитель бренда Паскаль Рух. Премиум-бренд, принадлежащий Toyota, потерял около четверти своих ...

Компания Hillstone Networks отмечена в отчете «Обзор брандмауэров корпоративного уровня» Компания Hillstone Networks, ведущий поставщик решений для кибербезопасности, включена в отчет Enterprise Firewall Landscape (Обзор брандмауэров корпоративного уровня) компании Forrester за 2-й квартал 2024 г. Обзорные отчеты Forrester позволяют получить общее представление ...

Суперседан и внедорожник Lagonda похоронили. Aston Martin не собирается выходить на рынок автомобилей класса люкс Хотя высокий уровень персонализации привел к тому, что средняя цена продажи Aston Martin взлетела до рекордного уровня в 213 000 фунтов стерлингов, компания заявила, что не планирует выходить на рынок автомобилей класса люкс. Ранее, под руководством бывшего генерального...

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Чтобы ноутбуки совершенно новой категории стоили не от 1000 долларов, а всего 600-800 долларов. Qualcomm готовит бюджетную SoC Snapdragon X Ноутбуки на основе SoC Snapdragon X уже вышли на рынок. Мы уже оценили, на что способны такие платформы, ну а цены на ноутбуки на рынке США стартуют с 1000 долларов. Но Qualcomm сделает их дешевле.  Правда, не просто так, а посредством выпуска новой SoC. Как сообщ...

Snapdragon 8 Gen 4 вернёт Qualcomm лидерство? Компания Arm якобы испытывает проблемы с суперъядром Cortex-X5 Согласно свежим данным, у Arm имеются проблемы с разрабатываемым ей суперъядром Cortex-X5.   Проблемы касаются энергоэффективности. Инсайдер Revegnus говорит, что при работе на высокой частоте ядро потребляет слишком много энергии, а при ограничении лимита мощност...

Huawei готовится к рекордным продажам Mate 70 Компания Huawei недавно вернулась на рынок смартфонов и показала приличные показатели продаж — бренд может похвастаться продажами, которых нет у крупных компаний топового уровня. И останавливаться на достигнутом компания не планирует — если верить инсайдерам, производитель з...

Вот бы такую память для GeForce RTX 5090. Samsung изготовила первый 16-слойный стек памяти HBM Компания Samsung изготовила первый образец 16-слойного стека памяти HBM.   Образец работал нормально, но пока что компания не собирается запускать такие чипы в массовое производство. Судя по всему, массовыми такие стеки станут уже с выходом HBM4, а до этого ещё ми...

Новая функция WhatsApp позволит делиться музыкой, которая сейчас играет В последние пару лет мессенджеры начали активно развивать такие функции, которых в них раньше не было. Во многом это стало результатом того, что пользовали должны делать выбор между WhatsApp и Telegram. И именно WhatsApp показывает невиданный для него рост количества функци...

Samsung может сделать раскладушки по-настоящему доступными уже в следующем году Компания Samsung уже довольно давно выпускает отличные складные телефоны. Даже когда мы еще не мечтали о том, что в нашу жизнь придут смартфоны, ее обычные кнопочные раскладушки уже были впереди всей индустрии. Но появились смартфоны, и им понадобилось около 10 лет, чтобы н...

Новую ракету «Амур-СПГ» можно будет использовать 50 раз. Или даже 100 По словам генерального директора Роскосмоса Юрия Борисова, перспективная российская многоразовая ракета «Амур-СПГ» может быть использована до 100 раз. Это в несколько раз больше, чем ракета Falcon 9 американской компании SpaceX. Борисов заявил об этом на лек...

[Перевод] Становится ли ПО хуже? Недавно я наткнулся на пост Никиты Прокопова Software disenchantment. Он заставил меня вспомнить пост Мацея Цегловски The Website Obesity Crisis и множество других статей подобного типа. Среди людей, пишущих о разработке ПО, возникает всё более широкий консенсус о том, что ...

Какой аккумулятор получит Айфон 16 и как изменится его время работы До презентации линейки iPhone 16 остается еще пять месяцев, но про будущие смартфоны Apple уже, кажется, известно практически всё: как они будут выглядеть, какие фишки получат, и даже про увеличенные диагонали экранов мы тоже знаем. Единственной информацией, которая ранее б...

Наверное, это самые выгодные смарт-часы. Обзор HUAWEI Watch Fit 3 Умные часы становятся все более популярными и привлекательными для покупателей. Если рассматривать именно умные часы, а не просто трекеры, то для себя я выделяю только трех производителей — Apple, Samsung и HUAWEI. Я могу хвалить решения Garmin или Google Pixel Watch, но эт...

Как Apple тестирует iOS перед релизом и когда выйдет iOS 18 Если вы давно пользуетесь Айфоном, то наверняка знаете, что после презентации новой версии операционной системы на WWDC начинается бета-тестирование среди разработчиков приложений, а потом и публичное для всех желающих. И кажется, что больше никаких этапов нет. Разработали,...

«Наша линейка в этом классе станет намного шире», — Suzuki подтвердила сразу два новых внедорожника Компания Suzuki работает над двумя новыми внедорожниками, о чем сообщил генеральный директор автопроизводителя в Индии, который уверен, что в линейке есть место для новых моделей. Итак, автопроизводитель работает над новым внедорожником длиной менее 4 метров и более кру...

TSMC строит новые предприятия для удовлетворения растущего спроса на чипы ИИ Тайваньский гигант полупроводниковой отрасли TSMC планирует построить к 2026 году два новых предприятия по упаковке микросхем в уезде Цзяи. Этот шаг направлен на решение проблемы дефицита поставок передовых чипов для искусственного интеллекта, спрос на которые стремительно р...

[Перевод] От пластины до ПК. Внутри лаборатории Intel Мир полупроводников сравним с мутными водами. Многие знают, что такое процессор и как он работает, однако с этапами его производства и применяемыми технологиями знакомы далеко не все. И потому когда меня пригласили на завод Intel в штате Пенанг (Малайзия), чтобы постичь иску...

Дешевле, чем RTX 4060 Ti 16GB, и при этом ощутимо мощнее. Radeon RX 7700 XT официально подешевела Компания AMD официально снизила цену своей видеокарты Radeon RX 7700 XT.  Снижение в абсолютном отношении невелико — всего 30 долларов. Но и сама карта в целом относительно недорогая. В итоге речь идёт о снижении с 450 до 420 долларов.  Стоит сказать, ч...

Достаточно ли 8 ГБ оперативной памяти для компьютера Apple в 2024 году Споры о том, сколько оперативной памяти минимум должно стоять в компьютерах Apple, не утихают уже очень давно. Но новую силу они получили после того, как купертиновцы показали базовые MacBook Pro M3 с 8 ГБ ОЗУ. Многие пользователи считают, что компьютер, используемый для ра...

Беспроводной пылесос Redkey W13 может не только пропылесосить, но и помыть весь дом. Налетай пока скидка не кончилась Вспомните, сколько времени вы тратите на уборку? Сначала надо протереть пыль, потом пропылесосить и после этого еще помыть полы. Если квартира большая, то можно убить на это целый день и совершенно не успеть отдохнуть. А что, если я скажу вам, что можно сократить весь этот ...

TSMC откроет самое современное предприятие по производству полупроводников в Японии Первоначально завод будет производить технологии класса 28 нм.

Huawei разрабатывает чипы для десктопа Совсем недавно компания Huawei официально вернулась на рынок смартфонов. Стоит отметить, что производитель на самом деле с рынка смартфонов официально не уходил — просто из-за различного рода ограничений и торговых санкций компания была вынуждена реорганизовать бизнес, созда...

В США потеряли два совершенно новых дилерских Land Cruiser 300. Даже представитель Toyota не знает, где они Довольно курьезный случай в США: в стране осталось два официально поставленных внедорожника Toyota Land Cruiser 300, но их местонахождение неизвестно. Даже представитель Toyota не знает, где машины. Land Cruiser 300 перестали поставлять в США с переходом машины в 2021 ...

SpaceX будет строить крупнейшую в мире ракету — Starship — по одной в день SpaceX поднимает производство Starship на новую высоту, запустив Starfactory — модернизированный за 100 миллионов долларов завод Starbase в Бока-Чика, штат Техас. Ожидается, что завод площадью около 1 тыс квадратных километров будет введен в эксплуатацию этим летом и поможет...

В России сократится производство Lada Granta, Vesta и Niva. Стратегия АвтоВАЗа на ближайшие годы будет определена на рубеже 2023 и 2024 годов. Президент АвтоВАЗа Максим Соколов заявил в кулуарах форума «Транспорт России», что итоговое производство автомобилей Lada на 2023 году может сократиться на 10%. «Мы выйдем примерно на те плановые показатели, о которых говорили еще год назад, ...

Не стоит бояться, что новые процессоры AMD обеспечат незначительный прирост производительности. CPU на Zen 5 уже тестируются клиентами AMD Чистая прибыль AMD выросла на 1000% и сравнялась с чистой прибылью Intel Компания AMD уже располагает образцами процессоров на архитектуре Zen 5, а некоторые клиенты AMD уже успели опробовать такие CPU и остались довольны.  Глава компании Лиза Су (Lisa Su) говорит...

Учёные создали термоэлектрический модуль с рекордной эффективностью Термоэлектрические материалы играют важную роль в переходе на чистую энергию благодаря способности генерировать электроэнергию без использования источников тепла. Однако их практическое применение замедляется тем, что большинство современных термоэлектрических материало...

Open Research Knowledge Graph: новый подход к научной коммуникации На сегодняшний день для представления и обмена результатами исследований мы используем методы, которые были разработаны много веков назад. С момента зарождения современной науки (публикации первого научного журнала "Transactions of the Royal Philosophical Society", 1665 г.) ...

iPhone 15 Pro стал производительнее благодаря iOS 18 Совсем недавно, в рамках презентации WWDC 2024, компания Apple установила ограничение касательно возможности использовать функции искусственного интеллекта Apple Intelligence, требуя для запуска технологии локально наличие как минимум смартфона iPhone 15 Pro с чипом A17 Pro,...

OnePlus рассказала про новый аккумулятор Glacier Battery Вчера компания OnePlus официально подтвердила, что работает над новой технологией аккумуляторных батарей под названием Glacier Battery, а сегодня компания даже раскрыла различные детали нового проекта на своей странице в социальных сетях, что даёт нам достаточно ясное предст...

Одно из самых бессмысленных смен поколений процессоров, и на сей раз у AMD. Представлены мобильные Ryzen 8000U/H/HS Компания AMD сегодня в рамках мероприятия Advance AI представит новые мобильные процессоры Ryzen 8000. Материалы с презентации уже попали в Сеть, так что новинки для нас полностью раскрыты.  Новое поколение называется Hawk Point и, к сожалению, оно почти ничем не ...

Часы на Wear OS могут стать более популярными, чем Apple Watch Wear OS долгое время не могла угнаться за Apple Watch по доле рынка. Причин этому было довольно много. Тут и отсутствие единой экосистемы, и то, что сама платформа была намного более сырой, чем watchOS. Сюда же можно отнести маркетинговые ограничения, ведь только с Apple Wa...

Hyundai Creta 2024 станет намного современнее и получит совершенно новые моторы. Первые подробности Hyundai уже работает над Creta нового поколения, но данных пока не очень много. Тем не менее, ресурс Autocar India представил интересные подробности. Изображение: Hyundai В линейке Creta 2024 появится электромобиль – Creta EV. Сообщается, что такие маши...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Стартап Thea Energy разработал подход к термоядерной энергии, объединяющий точность и экономичность — программное управление магнитами для стабилизации плазмы в термоядерных реакторах Стартап Thea Energy нацелился на создание инновационной термоядерной электростанции, применяя подход, который объединяет аспекты двух основных методов удержания плазмы: инерционного и магнитного. Основной задачей инженеров является достижение надежной и стабильной работ...

Будущие iPhone будут похожи на старые смартфоны Motorola? Apple работает над идеей модулей, как у Moto Z Компания Apple, похоже, рассматривает возможность использования технологии, которую в свое время продвигала Motorola.  фото: Motorola Один из патентов Apple описывает технологию сменных модулей для iPhone, которые посредством MagSafe крепятся к задней крышке устро...

Apple отказалась от новых процессоров в iPhone В настоящее время компания Apple использует технологический процесс в 3 нм от компании TSMC для нескольких своих чипов, и компания вполне может использовать этот производственный процесс в течение нескольких последующих поколения смартфонов iPhone, так как особого смысла рва...

Что не так с новым чат-ботом Microsoft Copilot? Пользователи говорят, что альтер-эго нового ИИ от Microsoft требует власти и поклонения Генеративный ИИ все больше входит в повседневную жизнь, а ведущие мировые компании делают все возможное для его развития. Так, Microsoft работает над чат-ботом с искусственным интеллектом...

Apple Watch Series X получат новое крепление ремешков Если верить информации западных инсайдеров, умные часы Apple Watch Series X будут уникальным в своём роде продуктом — компания отметит этим релизом 10-летний юбилей с момента запуска своих первых умных часов. С тех пор компания Apple упорно работает, выпуская новые модели с ...

Новый процессор Intel оказался на 20% быстрее Core i9-14900KS в однопоточном режиме. Предположительно, это всего лишь Core Ultra 5 В Сети появились первые данные о производительности настольного процессора Intel Arrow Lake.  К сожалению, нет никаких данных о том, что именно это за модель или хотя бы сколько у нее ядер. Но и без того информация интересная. К примеру, в CPU-Z однопоточная произ...

На iPhone выйдут полноценные Chrome и Mozilla на своих движках. Что это и зачем они нужны Вместе с выходом первой бета-версии iOS 17.4 Apple анонсировала большое количество изменений в операционной системе для пользователей на территории Европейского союза. Так, можно будет устанавливать сторонние магазины приложений и качать программы из них, отказаться от испо...

12-литровый игровой монстр нового поколения. Corsair представит геймерский мини-ПК One i500 на компонентах нового поколения уже в мае Компания Corsair готовится представить свой игровой мини-ПК One нового поколения.  Новинка под названием One i500 будет представлена уже 5 мая. Corsair отмечает, что новый ПК будет использовать компоненты нового поколения. Текущая модель, напомним, опирается на Co...

Apple сама предложила открыть NFC в iPhone для бесконтактных платежей. Ждем Mir Pay на iOS? Модуль NFC в iPhone всегда был священной коровой, контролировать который могла только Apple. В Купертино объясняли, что открыть к нему доступ для сторонних разработчиков равно подрыву безопасности iOS в целом, поскольку потенциально позволило бы им управлять бесконтактными ...

В Canon разработали 5-нм литограф, который стоит дешевле, чем система от ASML. Но есть нюанс В современной отрасли разработки и производства электроники правит балом тот, у кого есть литографы. Можно иметь собственную процессорную архитектуру и продвинутые разработки, как у Huawei, но если нет контрактного производителя микросхем, ничего хорошего из этого не выйдет...

Как будет выглядеть iPhone 16? Этот дизайн мы уже видели Почти за год до выхода iPhone 16 в Сети появились практически все характеристики смартфона. Мы уже знаем и то, какой процессор получит Айфон 2024 года, и тип батареи, и всю информацию о специальных изменениях для работы ИИ-функций iOS 18. Вопрос лишь в том, как будет выгляд...

Apple готовит ИИ для написания кода приложений Сегодня появилась информация о том, что компания Apple разрабатывает инструменты искусственного интеллекта для разработчиков, которые помогут им писать код для различных типов приложений в Xcode. Кроме того, компания также рассматривает возможность внедрения искусственного и...

KMP перешёл в stable. Что это значит? 1 ноября состоялся релиза Kotlin 1.9.20, beta компилятора k2. Но для нас интереснее глобальное изменение статуса KMP. Мы используем эту технологию в мобильной разработке и написали про неё несколько статей.В статье подробнее рассмотрим, что изменилось в технологии с пер...

iOS 18 выпустят на всех современных версиях iPhone Если верить информации сразу нескольких крупных инсайдеров, компания Apple собирается представить iOS 18 и iPadOS 18 через несколько часов на сегодняшней выставке WWDC 2024, и, возможно, компания сократит количество гаджетов, которые будут поддерживаться новой операционной с...

Apple Watch Series X получит увеличенный корпус Если верить информации различного рода инсайдеров, в предстоящих Apple Watch Series X компания Apple планирует реализовать множество различных нововведений, которые будут привлекать внимание потенциальных покупателей. Например, помимо более тонкого корпуса новая модель будет...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

RISC-V шагает по планете: в Китае появилась еще одна компания по производству чипов. Что о ней известно? В том, что в КНР начнет работу новая компания, разрабатывающая RISC-V процессоры, вроде бы нет ничего удивительного. В стране очень мощная отрасль производства электроники, в ней работают тысячи и тысячи компаний. Но глава этого "новичка" - экс-руководитель "дочки" ARM в Кит...

Qualcomm уже проектирует Snapdragon X Elite Gen 2 Компания Qualcomm и её партнёры из сегмента ноутбуков активно работают над тем, чтобы мобильный процессор Snapdragon X Elite с довольно приличной производительностью появился в портативных компьютерах уже во второй половине 2024 года. Кроме того, уже ходят слухи о том, что в...

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

YouTube стал замедлять работу всего сайта для пользователей с включенными блокировщиками рекламы Недавно YouTube начал замедлять работу сервис при обнаружении блокировщиков рекламы. Единственным решением является отключение блокировщика рекламы или переход на премиум-версию. Чтобы бороться с растущей частотой рекламы на YouTube, люди давно используют блокировщики р...

Мобильная разработка за неделю #520 (18 — 24 декабря) В этом дайджесте «условное ценообразование» для подписок и как управлять сложностью состояния, еще одно проигранное дело Google и как компания смогла проиграть там, где Apple выиграла, как почувствовать себя кассиром, анимации переходов во Flutter, рефакторинг кода и многое ...

Этой видеокарте четверть века, но ей дали новую жизнь и порты HDMI и DVI. Энтузиасты создали проект VoodooX на GPU 3dfx VSA-100 Очень старые видеокарты невозможно использовать в современных ПК по целому ряду причин. Но энтузиаст Оскар Бареа (Oscar Barea) при поддержке Эша Эванса (AshEvans81) решил немного поработать над 3dfx VSA-100, чтобы наделить адаптер современными интерфейсами.  Графи...

Взлом аппаратного ключа методом veni, vidi, vici К одному очень дорогому оборудованию для работы управляющей программы нужен аппаратный ключ с зашитой датой, указывающей, когда право использования оборудования кончается. За ключи исправно платили заграничному вендору, но после санкций это стало невозможным и оборудование с...

Tele2 проведет ребрендинг Tele2 сообщает о намерении провести ребрендинг до конца 2024 года. Компания уже разработала проект, сохраняющий преемственность с текущим брендом, и в указанный срок представит новое позиционирование и айдентику. Изменения обусловлены амбициями Tele2 занять лидерские позиции...

ИИ не шутит: Чат-боты DeepMind, Grok, ChatGPT и другие проваливают тест на юмор Ведущие технологические компании прилагают значительные усилия для создания более юмористических чат-ботов. Исследователи DeepMind недавно изучили, способны ли модели искусственного интеллекта создавать качественный комедийный контент. В опубликованной ранее в этом меся...

[Перевод] Hono vs. H3 vs. HatTip vs. Elysia — современные замены Express для сервера (или без сервера) H3 отмечает, что Express.js - старый и малоразвивающийся фреймворк, являющийся не оптимальным выбором для новых проектов из-за потенциальных проблем безопасности и утечек памяти, что, к слову, касается и Koa.В нашей статье мы сделаем акцент на фреймворках, поддерживающих зап...

Samsung перейдет с круглых умных часов на квадратные, «как у Apple» Любители Android-часов, держитесь крепче! Samsung, известный своими круглыми умными часами Galaxy Watch, может сделать крутой поворот: компания, по слухам, разрабатывает модель с квадратным экраном, как у Apple Watch.

Apple не смогла создать собственный 5G-модем Некоторое время назад западные инсайдеры сообщали, что компания Apple работает над собственными чипами сотовой связи 5G, чтобы уменьшить зависимость от компании Qualcomm. Однако новая информация гласит о том, что Apple, вероятно, очень далека от достижения своих целей, поско...

Чем Google Tensor 3 отличается от предыдущего и что еще надо о нем знать Флагманские смартфоны Google серии Pixel 8 имеют в своей основе новый полукастомный процессор под названием Tensor G3. Как следует из названия, это третье поколение чипа, и все, кто планирует купить этот смартфон, имеют самые высокие ожидания. Однако компания не стала уделя...

Toyota снимает с производства GR Supra, но готовит спорткар покруче. Возрожденная Toyota Celica получит 400 л.с. и полный привод Японский ресурс BestCarWeb раскрыл подробности о новой Toyota Celica. Согласно предварительным данным, концепт возрожденной легенды представят на токийском автосалоне в январе 2025 года, ну а серийная модель появится попозже. Что интересно, двигатель для новой Celica уж...

Перевод: Microsoft готовит «революционную» Windows, ориентированную на ИИ Редактор портала Windows Central Зак Боуден поделился новой информацией о будущем ОС Windows. Новое руководство команды Windows & Web Experiences формирует новую дорожную карту развития операционной системы, которая будет реализовываться в ближайшие годы. Новый план раз...

Заводы TSMC оказались не готовы к переходу на новое литографическое оборудование Нидерландская компания ASML, флагман производства литографических машин для печати кремниевых пластин, поставила Intel первую машину для экстремального ультрафиолета (EUV) (EXE:5000). Это выводит компанию на новый уровень. Но, как оказалось, один из главных конкурентов Intel...

«Винтажная» метавселенная Second Life с NASA, IBM и Dell: внезапное возрождение Если вы думаете, что метавселенные — это исключительно современная история, начавшаяся с Цукерберга, то вы сильно ошибаетесь. В этом году одна из самых старых метавселенных Second Life (SL) отметит свой 21-й день рождения. Да-да, %USERNAME% — эта метавселенная была запущена ...

Археологи обнаружили еврейскую табличку с проклятиями и самым древним именем Бога Древняя еврейская табличка с текстом, созданная более 3000 лет назад Небольшая табличка, размером с крупную почтовую марку, может содержать древние проклятия на ранней форме иврита. Кроме того, по мнению специалистов, текст призывает Бога проклясть человека, нарушившего свое...

На что же действительно способны ноутбуки новой категории на Windows on Arm? В Сети опубликованы тесты ПК на Snapdragon X Elite Ноутбуки на основе SoC Snapdragon X уже поступили в продажу, и в Сети появились первые сторонние тесты, которые наконец-то дадут объективную картину того, что же получилось у Qualcomm, и пора ли массово переходить на Arm и в ПК.  Тестов пока немного, но они позвол...

Теперь каждый желающий может купить ОЗУ в совершенно новом форм-факторе LPCAMM2, хотя использовать их пока негде. Crucial начала продажи модулей объёмом 32 и 64 ГБ Компания Crucial первой стала поставлять на рынок модули ОЗУ в форм-факторе LPCAMM2. И если на днях мы видели такой в ноутбуке, то теперь они появились в свободной продаже.  Модуль LPDDR5X-7500 продаются по цене 180 и 330 долларов за 32 и 64 ГБ соответственно. Это...

122-сильный мотор от Granta Sport, тормозные диски от Vesta NG и совершенно новая подвеска. Все подробности о новой Lada Niva Sport Появились технические подробности о будущей Lada Niva Sport: объем доработок будет настолько большим, что выпуск этой машины впору называть самым большим обновлением классической трехдверной «Нивы» за все 47 лет её конвейерной жизни. Самое масштабное н...

В России могут наладить сборку автомобилей Livan – это суббренд Geely В России могут наладить производство автомобилей Livan – это один из суббрендов Geely. О том, что компания рассматривает такую возможность, сообщила «Газета.ru» со ссылкой на главу российского представительства Livan Куна Шуайя. Пока особой конкре...

Почему компании стремятся производить зеленый аммиак Американская компания Starfire Energy работает над разработкой метода производства аммиака с использованием возобновляемой энергии. Они используют электролизеры для выделения водорода из воды, затем соединяют его с азотом для получения аммиака.

Samsung Galaxy S26 может получить 2-нм чип Samsung Tethys Samsung работает над 2-нм техпроцессом, при этом компания хочет первой выпустить такие чипы, превзойдя TSMC. Как пишет gizmochina, компания получила первый заказ на производство 2-нм чипов от японской компании Preferred Networks (PFN). Кроме того, появились сведения, чт...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

ЕвроХим ввел в эксплуатацию современный комплекс по производству фосфатных удобрений в Бразилии ЕвроХим запустил в промышленную эксплуатацию новое предприятия по производству фосфорных удобрений в городе Серра-ду-Салитри, Бразилия. Новый комплекс – крупнейшая за последнее время инвестиция Группы в создание новых производственных мощностей за пределами Российской Федера...

Как наушники работают через Wi-Fi и для чего это нужно Многие не серьезно относятся к портативному аудио, думая, что звук из телефона — это что-то обыденное и не требующее улучшения. Мол, звучит и ладно, музыку же слышно. На самом деле, даже небольшие изменения могут очень серьезно поменять качество звука даже в самых простых н...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Как Apple может заблокировать Айфоны в России и что будет, если это все-таки случится Уже два года Россия живет под санкциями, и большая часть импортной электроники и смартфонов поставляется к нам в страну по параллельному импорту. В частности, вся техника Apple завозится именно таким путем, и производитель к этим поставкам не имеет никакого отношения. Получ...

Совершенно новый внедорожник Kia Tasman 2025 на подходе Новейший внедорожник Kia Tasman 2025 модельного года будет представлен в ближайшее время, очем заявил руководитель отдела дизайна Kia Карим Хабиб. «Думаю, вы скоро увидите его», — сказал Карим Хабиб на первом ежегодном дне электромобилей бренда, которы...

Угадайте, сколько будет стоить iPhone SE 4 в новом дизайне, с большой камерой и USB-C iPhone SE 4 является одним из самых ожидаемых смартфонов Apple. Все-таки у многих поклонников компании из Купертино есть надежда, что это будет идеальная покупка по соотношению цены и качества: высокая производительность, оптимальная диагональ экрана, приемлемое время автон...

iOS 18 в этом году наконец принесёт новый дизайн, а изменения macOS ожидаются не раньше 2025 года По словам Марка Гурмана из Bloomberg, iOS 18, скорее всего, будет включать в себя некоторые изменения в дизайне, но обновление внешнего вида macOS может произойти только через год или два. Гурман сообщил, что Apple работает над обновлением дизайна iOS «уже в этом ...

«Прорыв в заказах». Great Wall собрала уже 20 тысяч заказов на Tank 500 Hi4-T, который будет конкурировать в Китае с новейшим Land Cruiser Prado 250 Great Wall собрала уже 20 тыс. заказов на покупку новейшей версии внедорожника Tank 500 – гибридной. По этому поводу компания даже опубликовала специальную картинку, надпись на которой гласит «Прорыв в заказах». С одной стороны, 20 тыс. заказов, да ещ...

Новые горизонты: японская компания научилась производить 400-слойную флеш-память 3D NAND. Что это за технология? На днях стало известно, что японская компания Tokyo Electron смогла разработать современный метод выпуска чипов 3D NAND. В них используется пространственная компоновка с вертикальными соединениями между слоями в отдельных микросхемах. Соответственно, достижение японцев даёт ...

 В 2024 году Huawei «перепишет историю отрасли». Компания выпустит «передовые, инновационные и революционные продукты» Уже почти пять лет Huawei находится под жесткими американскими санкциями, и все это время компания работала не покладая рук. Теперь, возможно, настало время для серьезного рывка. По крайней мере слова Ю Чэндона (Yu Chengdong), генерального директора потребительской бизн...

Intel, а где прирост от новых архитектур? Core Ultra 9 185H оказался медленнее, чем Core i9-13900H при одинаковом количестве ядер Вчера мы ознакомились с первыми тестами Core Ultra 7 155H, которые на первый взгляд не впечатляют. Сегодня в Сети появились первые результаты тестов флагманского Core Ultra 9 185H.  Этот CPU имеет максимальное для Meteor Lake количество ядер: шесть больших, восемь...

Исследование обнаружило необходимость вложений в $2 млрд для расширения порта Канаверал Недавнее исследование компании Space Florida рекомендует инвестиции в размере более $2 миллиардов для расширения порта Канаверал, чтобы удовлетворить растущие потребности компаний, участвующих в запусках космических миссий. В настоящее время порт Канаверал используется ...

Главный обман смартфонов на процессорах MediaTek: вам продают старье под видом новых моделей Поскольку процессор является сердцем смартфона, которое непосредственным образом влияет на производительность, автономность и даже качество фото, мы всегда рекомендуем обращать пристальное внимание на эту характеристику. В современных моделях, как правило, встречаются решен...

Представлен Chevrolet Spin 2025 Компания Chevrolet представила Chevrolet Spin 2025 модельного года, который отличается обновленным внешним видом, современными технологиями и новой настройкой шасси. Оригинальный Chevrolet Spin — разработанный в Бразилии минивэн-кроссовер на базе снятого с произво...

Samsung все-таки еще лидер, но Huawei активно догоняет. Аналитики TrendForce оценили ситуацию на рынке складных смартфонов Компания Samsung до сих пор доминирует на рынке складных смартфонов. Как минимум это следует из отчета TrendForce, который содержит данные на июнь. Напомним, на днях мы видели отчеты, где по итогам первого квартала лидерство захватила Huawei.   Согласно данны...

5 причин дождаться iPhone 16 Pro Max. Он будет лучше iPhone 15 Pro Max во всем Чем меньше времени остается до сентябрьской презентации Apple, где нам покажут линейку смартфонов iPhone 16, тем больше подробностей всплывает про будущие новинки. Например, про флагман iPhone 16 Pro Max можно составить цельное впечатление уже сейчас. Смартфон станет больше...

Volkswagen и Renault не смогли договориться и отказались от совместного проекта Volkswagen отказался от переговоров с Renault о совместной разработке доступной электрической версии автомобиля Twingo, о чем Reuters сообщили четыре источника, знакомых с ситуацией. Это означает, что Volkswagen придется в одиночку разрабатывать собственный доступный эл...

Samsung может начать выпускать квадратные часы вместо круглых Южнокорейский производитель может изменить форму Galaxy Watch

В Японии прекратили продавать Toyota Land Cruiser 300 и Alphard Toyota не в состоянии удовлетворить спрос на домашнем рынке на гибридный хетчбэк Aqua (он же Prius C), внедорожники Land Cruiser и минивэн Alphard: не хватает мощностей для производства такого количества авто, которое бы покрыло все оформленные ранее заказы. По данным р...

Лунная миссия Artemis 3 может быть задержана до 2027 года Астронавты NASA могут отправиться на Луну значительно позже, чем ожидалось. По информации Государственного бюджетного контроля США (GAO), миссия Artemis 3, запланированная на декабрь 2025 года, возможно, будет перенесена на 2027 год из-за «множества сложностей&raq...

TDK, которая раньше выпускала кассеты, теперь будет производить аккумуляторы для iPhone Японский производитель электронных компонентов TDK будет производить литий-ионные аккумуляторы для iPhone в Индии, о чем пишет Reuters. Apple в последнее время активно переносит производство из Китая в Индию. Компания начала сборку iPhone в стране в 2017 году через Wist...

Apple передумала «мстить» Евросоюзу за новый закон. Компания вернёт поддержку веб-приложений PWA для жителей EC Компания Apple передумала отключать поддержку веб-приложений PWA для жителей Евросоюза.  Купертинский гигант сообщил, что с выходом iOS 17.4 для жителей ЕС в этом вопросе ничего не изменится, и веб-приложения продолжат быть для них доступны. Напомним, ранее Apple ...

Высокий спрос заставляет Xiaomi форсировать выпуск электрокара SU7 Компания Xiaomi, дебютировавшая на рынке электромобилей всего три года назад, демонстрирует впечатляющие успехи. Высокий спрос на ее первую модель SU7 заставляет производителя значительно увеличить производственные планы, чтобы удовлетворить интерес покупателей.

Apple не обновляла этот продукт три года. Новый iPad mini без изменений в дизайне ожидается в конце года Компания Apple обновила планшеты iPad Pro и Air, перевыпустила базовый iPad с меньшей ценой и теперь готовится выпустить новый iPad mini. Но ждать придётся минимум до конца года.  Как сообщается, новый iPad mini ожидается в конце текущего года, хотя выход может бы...

Transition Animation: настраиваем анимацию переходов во Flutter Привет! Меня зовут Айдар Мавлетбаев, я Flutter-разработчик в AGIMA. Современное приложение почти невозможно представить без анимации. И один из ее типов — Transition Animation, или анимация переходов. Ее используют, чтобы переход с одной страницы на другую был плавным и крас...

Аккумуляторы Prius первого поколения будут использоваться в автомобилях Toyota следующего поколения Toyota Prius первого поколения дебютировал почти 30 лет назад. В результате срок службы многих из этих автомобилей либо уже достиг конца, либо приближается к нему, что делает их подходящими кандидатами на утилизацию. Аккумуляторы, которые питали эти ранние гибриды, найд...

Что нового появится в watchOS 11 и какие Apple Watch получат обновление На WWDC Apple ежегодно представляет новые версии операционных систем для всех своих устройств. Об ожиданиях от iOS 18 и macOS 15 мы вам уже подробно рассказывали, теперь можно остановиться и на watchOS 11. Информации про следующее крупное обновление для умных часов Apple Wa...

Sony прекратила производство PS VR2 Согласно отчёту информационного издания Bloomberg, компания Sony официально приостановила производство новых экземпляров шлема дополненной и виртуальной реальности PS VR2 до тех пор, пока не сможет продать текущие остатки со склада. Анонимные источники издания Bloomberg такж...

Samsung готовит к релизу умные часы Galaxy Watch7 Ultra Если верить инсайдерам, серия новых умных часов Galaxy Watch7 готовится к релизу вместе с новыми складными устройствами серии Galaxy. Представят данные новинки на ежегодном мероприятии Unpacked в июле, где, по словам специалистов, компания должна представить в том числе Watc...

MacBook Pro на М4 выйдет в первом квартале 2025 года Новый MacBook Pro на базе процессора M4 от компании Apple, если верить западным инсайдерам, уже находится в стадии активной разработки, но это вовсе не значит, что новая система на кристалле появится в ближайшее время — специалисты уверены, что с учётом сроков на производств...

Продажи Apple Mac в США так хороши, что компания активно стремится к третьему месту в списке лидеров рынка Современные компьютеры Apple на основе SoC Apple M явно пришлись по душе пользователям. Продажи Mac продолжают расти, и сейчас Apple приближается к тому, чтобы как минимум на рынке США занять третье место среди лидеров.  фото: Apple По данным Canalys, в первом ква...

Wi-Fi 7 (IEEE 802.11b) — характеристики и особенности технологии Беспроводная связь Wi-Fi имеет собственные стандарты, которые обновляются и улучшаются. Расскажем, что такое Wi-Fi 7, чем он отличается от Wi-Fi 6 и на каких смартфонах поддерживается. Характеристики Wi-Fi 7 Wi-Fi 7 или IEEE 802.11be — новейший стандарт беспроводной связи Wi...

Переход с Vue-CLI на Vite: ускоряем разработку Vue 3 Одним из новых инструментов в арсенале Vue 3 является Vite - быстрый и легковесный бандлер, который значительно упрощает процесс разработки и ускоряет сборку проекта. В этой статье мы рассмотрим, как перейти от стандартного подхода с использованием Vue-CLI и Webpack к более ...

Особенности и преимущества TCL с Google TV Компания TCL - это бренд, который занимается, как производством, так и разработкой бытовой техники, мобильных гаджетов, дисплеев и телевизоров. Компания была основана в 1981 году путём слияния TTK Home Appliances и TCL Communication Equipment. В двухтысячных годах корпорация...

Этот автомобиль станет мировым бестселлером. Так создатели говорят про MG3 На 100-м Женевском автосалоне, открывшемся 26 февраля, бренд MG компании SAIC Group представил новую глобальную модель и анонсировал большой ряд грядущих моделей. По словам Чжао Айминя, заместителя генерального директора SAIC International, глобальные продажи бренда MG...

Новейшие Ryzen 9000 будут без конкурентов около трех месяцев, так как Intel выпустит CPU Arrow Lake-S только в октябре Компания Intel уже представила мобильные процессоры Lunar Lake, которые должны обеспечить революционную для архитектуры x86 энергоэффективность. Теперь появились подробности о настольных Arrow Lake-S, которые придут на смену текущим Core 14-го поколения (Raptor Lake Ref...

Инновационный душ Showee: новый шаг в улучшении жизни людей с ограниченными возможностями: сенсорный экран, регулируемая высота и детальные инструкции Доступность, которую долгое время упускали из виду многие мировые производители, начала находить своё место в мире технологий. По оценкам, более четверти взрослого населения в США заявляют, что у них есть инвалидность, а в Великобритании, согласно прошлогоднему отчёту О...

Производство карт «Тройка» стало полностью российским В Москве открыли первую в России линию по корпусированию микросхем для карт «Тройка». Производством занимается компания «Микрон Секьюрити Принтинг», расположенная в особой экономической зоне «Технополис Москва». Ранее компоненты для б...

Умная стиральная машина. Обзор Hisense WF5S1043BW Серия 5S была официально представлена компанией Hisense на российском рынке в ноябре 2023 года. Она включает в себя стиральные и сушильные машины, объединенные инновационными характеристиками, которые меняют привычные представления об использовании бытовой техники. С ними ух...

Как 3D принтеры используют для производства дронов? (Часть 1) Проектирование и создание дронов возможно с помощью 3D печати и 3D моделирования. Где используются беспилотники, из каких элементов состоят дроны и какой пластик выбрать для изготовления разных деталей? В этой статье вы узнаете все о процессе создания беспилотников, выборе п...

Анонимная P2P-база знаний, где никто не может подделать чужое авторство | Магия асимметричных ключей на практике На днях, как это обычно и бывает перед сном, мой мозг решил, что было бы очень забавно перед экзаменом не спать, а заняться брейнштормом. Как результат я получил слитую сессию и безумное желание сделать свой P2P WEB 228.0 — ну вы знаете… Вот вы никогда не задумывались, чт...

Microsoft рассказала, как устроена ИИ-функция Recall в Windows 11 Компания Microsoft поделилась подробностями о том, как функция Recall будет использовать Windows Semantic Index и Windows Copilot Runtime. Вместе с этим компания рассказала, как разработчики приложений смогут усовершенствовать свои продукты для работы с Recall, чтобы обеспе...

Может ли искусственный интеллект в смартфонах заменить приложения Мы уже привыкли к тому, что в наших смартфонах находится огромное множество приложений, многие из которых нужны буквально 1–2 раза в год. Более того, часто они устанавливаются для однократного использования, а потом не удаляются, занимая место в памяти и просто вися мертвым...

Действительно гигантская испарительная камера и действительно очень яркий экран. OnePlus 12 представили вчера, а уже сегодня разобрали OnePlus 12 представили только вчера, а уже сейчас мы можем посмотреть на видео с его разборкой. Заодно оценить реальные размеры испарительной камеры, которую компания активно рекламировала.  Как можно видеть, испарительная камера действительно очень крупная и зани...

Лайв ставки в Telegram: где найти хороший канал? Прогнозы на спортивные события и лайв ставки в последние годы приобретают все большую популярность, и все больше людей ищут качественные ресурсы для получения надежной информации. Telegram стал одной из ведущих платформ для любителей спорта, благодаря возможности получать оп...

Huawei Mate 70, который потягается с iPhone 16, получит очень быстрый чип Kirin: он уже набирает более 1,1 млн баллов в AnTuTu Компания Huawei выпустила свои последние флагманы Pura 70 с новым чипом Kirin 9010. Хотя SoC имеет тот же производственный процесс и базовую архитектуру, что и Kirin 9000, компания Huawei еще больше оптимизировала его, чтобы обеспечить производительность в своих последн...

Китайские «танки» стали хитом в России: за неполный 2023 год в России продали почти 14 тыс. внедорожников Tank 300 и Tank 500 Как сообщает «Автостат», в 2023 году в России был продан 13 941 автомобиль бренда Tank. И это при том, что марка вышла на отечественный рынок в марте с моделью Tank 300, а продажи Tank 500 стартовали в апреле. Если бы машины продавались целый год, то ци...

Amazon откроет новый логистический центр Компания Amazon откроет новый логистический центр в Эверетте, штат Вашингтон, для своего проекта широкополосного спутникового интернета Kuiper. Центр площадью 17 000 квадратных метров позволит хранить материалы для 5 спутников в день и запас комплектующих на несколько месяце...

Новые процессоры Intel Meteor Lake будут не такими уж и новыми. Компания призналась, что архитектурных отличий от Raptor Lake немного В конце текущего года Intel представит процессоры Meteor Lake, которые предложат и новый техпроцесс, и новые архитектуры для больших и малых ядер, и новый iGPU. Впрочем, как оказалось, относительно архитектур всё не так однозначно.  Сама Intel сообщила, что больши...

Глава Nokia продемонстрировал первый в мире телефонный звонок с эффектом «полного погружения» Генеральный директор компании Nokia Пекка Лундмарк (Pekka Lundmark) совершил первый в мире телефонный звонок с использованием технологии «иммерсивного аудио и видео». По заявлению компании, новая разработка улучшает качество звонка с помощью трёхмерного звук...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)